您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 使用Verilog设计一个冯诺依曼结构的CPU

  2. 使用Verilog设计一个冯诺依曼结构的CPU,实现以下4条指令: (1)addi: (rd) <- (rs) + imm (2)lw: (rd) <- memory((rs) + imm) (3)sw: memory((rd) + imm) <- (rs) (4)add: (rd) <- (rd) +(rs) CPU包含以下模块: (1)存储器Memory (2)时序信号产生模块CLOCK (3)取指令模块IFU (4)通用寄存器GR (5)ALU (6)控制器c
  3. 所属分类:硬件开发

    • 发布日期:2021-03-14
    • 文件大小:4194304
    • 提供者:weixin_45161294