您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. WIN XP蓝屏代码大全

  2. WIN XP蓝屏代码大全 一、蓝屏含义 1、故障检查信息 ***STOP 0x0000001E(0xC0000005,0xFDE38AF9,0x0000001,0x7E8B0EB4) KMODE_EXCEPTION_NOT_HANDLED ***其中错误的第一部分是停机码(Stop Code)也就是STOP 0x0000001E, 用于识别已发生错误的类型, 错误第二部分是被括号括起来的四个数字集, 表示随机的开发人员定义的参数(这个参数对于普通用户根本无法理解, 只有驱动程序编写者或者微软操
  3. 所属分类:Windows Server

    • 发布日期:2013-08-08
    • 文件大小:160768
    • 提供者:u011634483
  1. 电脑蓝屏对照码

  2. WIN XP蓝屏代码大全 一、蓝屏含义 1、故障检查信息 ***STOP 0x0000001E(0xC0000005,0xFDE38AF9,0x0000001,0x7E8B0EB4) KMODE_EXCEPTION_NOT_HANDLED ***其中错误的第一部分是停机码(Stop Code)也就是STOP 0x0000001E, 用于识别已发生错误的类型, 错误第二部分是被括号括起来的四个数字集, 表示随机的开发人员定义的参数(这个参数对于普通用户根本无法理解, 只有驱动程序编写者或者微软操
  3. 所属分类:DOS

    • 发布日期:2019-05-05
    • 文件大小:32768
    • 提供者:weixin_45017146
  1. 卫星天线指向跟踪伺服系统容错性设计

  2. 卫星天线指向跟踪伺服系统由于其控制系统结构复杂,运行环境特殊决定了其对可靠性和寿命具有较高的要求。容错技术是控制系统实现高可靠性的有效方法之一。本文给出了一种具有容错切换功能的控制器结构,详细介绍了容错切换管理模块的CPLD实现方法,并引人双端口RAM作为两个控制器信息传输的通道,应用到卫星天线指向跟踪伺服系统中大大简化了系统硬件设计,提高系统的可靠性。
  3. 所属分类:电信

    • 发布日期:2020-04-01
    • 文件大小:204800
    • 提供者:weixin_41733936
  1. PLC控制系统的故障诊断和维护.pdf

  2. PLC控制系统的故障诊断和维护pdf,由于PLC本身的故障可能性很小,系统的故障主要来自于外围的元部件,所以它的故障可分为以下几种:传感器故障、执行器故障和PLC软件故障。这些故障都可以用合适的故障诊断方法进行分析和用软件进行实时监测,对故障进行预报和处理。所 RUN灯雯 C处手 行状毒 置于运行状态 RUN灯亮 内存芯片 正常 将RAM/EPRM 正确入座 RUN灯英 更换搜处理器 结束 图3运行故障诊断流程图 输入输出故障诊断 输人输出是PC与外部设备进行信息交流的通道,其是否正常工作,除了
  3. 所属分类:其它

    • 发布日期:2019-10-19
    • 文件大小:2097152
    • 提供者:weixin_38743481
  1. espressif_常见问题p18有两种SDK的区别.pdf

  2. 8266两种编程方式的介绍,常见问题, if ((WDEV_NOW() - tick_now2) >= 1000000) { static u32 idx = 1; tick_now2 = WDEV_NOW(); os_printf("b%u:%d\n", idx++, j); j = 0; }目录 1.应用 为什么云端升级需要2个B|N文件?“user1bn”和“user2bn”有什么区别? 如何生成“use1.bn
  3. 所属分类:C

    • 发布日期:2019-10-15
    • 文件大小:1048576
    • 提供者:weixin_44087732
  1. Q系列串行口通信模块基础.pdf

  2. Q系列串行口通信模块基础pdf,Q系列串行口通信模块基础i设计说明 △小心 不要将控制线或通讯电缆捆扎到主回路或电源线上,安装时也不要使它们靠得太近 安装时,它们应彼此间隔100mm(3in.)或更远。 不这样做可能会产生噪声,引起故障。 ●当闪存冇RoM中寄冇了某些值,如缓冲冇储器设定值,那么在使用模块时,不要断开安装了模 块的站的电源,也不要复位 PLC CPU 当闪存ROM中寄存了某些值,此时如果断开安装了模块的站的电源,或者复位 PLC CPU,那 么內存ROM中的数据内容将前后矛盾,结
  3. 所属分类:其它

    • 发布日期:2019-10-15
    • 文件大小:35651584
    • 提供者:weixin_38743737
  1. 模电 数电 单片机笔试及面试问题.pdf

  2. 该文档包括数电、模电、单片机、计算机原理等笔试问题,还讲解了关于面试的问题该如何解答,对大家有一定的帮助电流放大就是只考虑输岀电流于输入电流的关系。比如说,对于一个uA级的信号,就需要放大后才能驱动 一些仪器进行识别(如生物电子),就需要做电流放大 功率放大就是考虑输出功率和输入功率的关系。 其实实际上,对于任何以上放大,最后电路中都还是有电压,电流,功率放大的指标在,叫什么放大,只 是重点突出电路的作用而已。 15.推挽结构的实质是什么? 般是指两个三极管分别受两互补信号的控制,总是在一个三极
  3. 所属分类:嵌入式

    • 发布日期:2019-10-12
    • 文件大小:664576
    • 提供者:fromnewword
  1. FPGA自学笔记——设计与验证VIP版.pdf

  2. 开始有计划写这本书的时候, Altera 还叫 Altera, 还没有加入 Intel 的大家庭, Xilinx 的 ZYNQ 也才刚刚开始有人探索, Altera 大学计划第一次将亚洲创新大赛由传统的 SOPC 大赛 换成了 SOC 大赛,软核变硬核,性能翻几番。 那个时候,能出一本认认真真讲 FPGA 设计的 书, 会得到非常高的评价。 而我,则由于工作变动, 中间拖沓了半年,当半年后再来准备动 笔时,才恍然领悟到, Altera 即将成为 Intel 的可编程事业部, 基于嵌入式硬核的 S
  3. 所属分类:硬件开发

    • 发布日期:2019-09-03
    • 文件大小:16777216
    • 提供者:qq_30307853
  1. nvdla 入门翻译文档.pdf

  2. 翻译的NVDLA 加速引擎的文档,学习交流,一块进步!PU cPU Microcontroller DRAM AVULA NVD_A DODI SFAMI Small NVDLA system Large"NVDLA system 小NDLA模型 小型 NVDLA模型在以前不可行的领域开辟了深度学习技术。此模型非常适合对成本因素 比较敏感的物联网(IoT)类设备、A丨以及面向自动化的系统领域。这些系统具有明确的应 用方向,其成本、面积和功率是主要注意事项。通过N√DLA可配置实现资源节约(在成本
  3. 所属分类:硬件开发

    • 发布日期:2019-08-31
    • 文件大小:510976
    • 提供者:weixin_42119147
  1. 模拟电路和数字电路笔试知识和面试知识.pdf

  2. 每次面试都被问到模电和数电,因此想给大家分享一份关于模拟电子技术的面试题,希望有所帮助电流放大就是只考虑输出电流于输入电流的关系。比如说,对于一个uA级的信号,就需要放大后才能驱动 些仪器进行识别(如生物电子),就需要做电流放大。 功率放大就是老虑输出功率和输入功率的关系。 其实实际上,对于任何以上放大,最后电路中都还是有电压,电流,功率放大的指标在,叫什么放大,只 是重点突出电路的作用而已 15.推挽结构的实质是什么? 般是指两个三极管分别受两互补信号的控制,总是在一个三极管导通的时候另一个截
  3. 所属分类:讲义

    • 发布日期:2019-08-18
    • 文件大小:628736
    • 提供者:maosheng007
  1. 双端口RAM的并口设计应用

  2. 文中分析了双端口RAM(DPRAM)的设计方案。并以IDT7132/7142为例介绍了双端口RAM的时序、竞争和并行通讯接口设计以及雷达仿真平台中的应用。
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:81920
    • 提供者:weixin_38708841
  1. 双口RAM在PCI总线与AVR接口设计中的应用

  2. 为了提高PCI总线与AVR单片机之间的数据传输速度,利用双口RAM通过共享的方式实现PCI总线与AVR单片机之间的高速数据交换。利用有限状态机方法将PCI接口芯片局部端逻辑转换为双口RAM读写控制信号和地址数据信号,并通过仿真工具Modelsim Se对接口电路进行了验证,得出的仿真波形符合要求;利用乒乓操作方法实现PCI接口芯片和AVR单片机交替读/写数据存储区,有效提高了PCI总线与AVR单片机之间的数据传输速度。实践证明该设计方法是解决高低速设备的传输瓶颈问题的有效途径。
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:331776
    • 提供者:weixin_38718690
  1. 用双端口RAM实现与PCI总线接口数据通讯

  2. 提出了一种使用CPLD解决双端口RAM地址译码和PCI接口芯片局部总线仲裁的的硬件设计方案,并给出了PCI总线接口芯片寄存器配置实例,介绍了软件包WinDriver开发设备驱动程序的具体过程。随着计算机技术的不断发展,为满足外设间以及外设与主机间的高速数据传输,Intel公司于1991年提出了PCI总线概念。PCI总线是一种能为主CPU及外设提供高性能数据通讯的总线,其局部总线在33MHz总线时钟、32位数据通路时,数据传输速率最高可达133Mbps。实际应用中,可通过PCI总线实现主机与外部设
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:174080
    • 提供者:weixin_38526225
  1. 高速RS-422串行通信接口板设计

  2. 设计了一种高速RS-422串行通信接口板,采用通用的异步串行通信控制器,以嵌入式PC为控制核心,以双端口RAM作为数据缓存,并对双端口RAM的程序设计进行了详细说明。应用结果表明,该接口板通信速率高,数据缓存能力强,使用简单。
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:264192
    • 提供者:weixin_38591291
  1. 一种新的嵌入式处理器在线调试方法

  2. 针对嵌入式处理器在FPGA中的应用现状,通过引入通用的调试模块,实现了对没有调试接口的嵌入式处理器进行在线调试的功能。所设计的调试模块通过引入专用的调试中断及与之对应的调试服务程序实现一种处理器响应断点(breakpoint)的机制,并基于双端口RAM中一种巧妙的地址映射机制实现同时对多行代码设置断点的功能。实际的工程应用情况表明,新的调试方法扩展了对小型嵌入式处理器进行调试的手段,明显提高了开发效率。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:297984
    • 提供者:weixin_38690407
  1. 嵌入式系统/ARM技术中的一种新的嵌入式处理器在线调试方法

  2. 摘要: 针对嵌入式处理器在FPGA 中的应用现状,通过引入通用的调试模块,实现了对没有调试接口的嵌入式处理器进行在线调试的功能。所设计的调试模块通过引入专用的调试中断及与之对应的调试服务程序实现一种处理器响应断点( breakpoint) 的机制,并基于双端口RAM 中一种巧妙的地址映射机制实现同时对多行代码设置断点的功能。实际的工程应用情况表明,新的调试方法扩展了对小型嵌入式处理器进行调试的手段,明显提高了开发效率。   1 引言   在FPGA 设计中使用嵌入式处理器软核( 如Micro
  3. 所属分类:其它

    • 发布日期:2020-11-03
    • 文件大小:385024
    • 提供者:weixin_38526979
  1. 通信与网络中的用双端口RAM实现与PCI总线接口的数据通讯

  2. 采用双端口RAM实现DSP与PCI总线芯片之间的数据交换接口电路。   提出了一种使用CPLD解决双端口RAM地址译码和PCI接口芯片局部总线仲裁的的硬件设计方案,并给出了PCI总线接口芯片寄存器配置实例,介绍了软件包WinDriver开发设备驱动程序的具体过程。   随着计算机技术的不断发展,为满足外设间以及外设与主机间的高速数据传输,Intel公司于1991年提出了PCI总线概念。PCI总线是一种能为主CPU及外设提供高性能数据通讯的总线,其局部总线在33MHz总线时钟、32位数据通路时
  3. 所属分类:其它

    • 发布日期:2020-11-18
    • 文件大小:175104
    • 提供者:weixin_38621365
  1. 嵌入式系统/ARM技术中的双端口RAM的并口设计应用

  2. 摘要:IDT7132/7142 是一种高速2k×8双端口静态RAM,它拥有两套完全独立的数据、地址和读写控制线。文中分析了双端口RAM(DPRAM)的设计方案。并以 IDT7132/7142为例介绍了双端口RAM的时序、竞争和并行通讯接口设计以及雷达仿真平台中的应用。   数据获取及交换是多CPU系统的重要组成部分。在这类系统中,数据交换要求的通讯速率往往很高,平均速率一般在10k左右,有时甚至达100k以上。传统的并行接口和串行接口设计无论在通信速率,还是在可靠性方面都不易满足要求。而双端口
  3. 所属分类:其它

    • 发布日期:2020-11-18
    • 文件大小:180224
    • 提供者:weixin_38688145
  1. 单片机与DSP中的高速大容量数据采集板卡的SDRAM控制器设计

  2. 摘 要:本文对高速、高精度大容量数据采集板卡所采用的SDRAM控制器技术进行了讨论,详细介绍了基于FPGA的SDRAM控制器的设计、命令组合以及设计仿真时序,并将该技术应用于基于PCI总线的100MHz单通道 AD9432高速大容量数据采集板卡,最后给出了板卡测试结果。关键词:SDRAM;FPGA;AD9432 引言高速数据采集具有系统数据吞吐率高的特点,要求系统在短时间内能够传输并存储采集结果。因此,采集数据的快速存储能力和容量是制约加快系统速度和容许采集时间的主要因素之一。通常用于数据
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:88064
    • 提供者:weixin_38563871
  1. 双端口RAM的并口设计应用

  2. 摘要:IDT7132/7142是一种高速2k×8双端口静态RAM,它拥有两套完全独立的数据、地址和读写控制线。文中分析了双端口RAM(DPRAM)的设计方案。并以IDT7132/7142为例介绍了双端口RAM的时序、竞争和并行通讯接口设计以及雷达仿真平台中的应用。  关键词:微处理器双端口RAMIDT7132/7142数据获取及交换是多CPU系统的重要组成部分。在这类系统中,数据交换要求的通讯速率往往很高,平均速率一般在10k左右,有时甚至达100k以上。传统的并行接口和串行接口设计无论在通信速
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:144384
    • 提供者:weixin_38659527
« 12 3 »