您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的乒乓球游戏电路设计

  2. 一个基于FPGA的乒乓球电路的设计,给出了设计方法和程序的原代码。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-04
    • 文件大小:316416
    • 提供者:gaorui509
  1. EDA—EDA技术实用教程(pdf影印)

  2. 学习VHDL和FPGA的经典资料 第 1 章 概述 1.1 EDA 技术及其发展 1.2 EDA 技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2
  3. 所属分类:硬件开发

    • 发布日期:2010-06-07
    • 文件大小:8388608
    • 提供者:zt839486421
  1. VHDL实用教程,硬件描述语言

  2. 第1 章绪 论 ....................................................................................................................1 § 1.1 关于EDA...............................................................................................................1
  3. 所属分类:硬件开发

    • 发布日期:2010-07-14
    • 文件大小:4194304
    • 提供者:angus36
  1. 基于FPGA的乒乓球游戏

  2. 基于FPGA的乒乓球游戏基于FPGA的乒乓球游戏
  3. 所属分类:硬件开发

    • 发布日期:2010-07-30
    • 文件大小:15360
    • 提供者:ltjliao
  1. Verilog描述的基于VGA的乒乓球游戏

  2. 该资源用Verilog模拟实现乒乓球游戏,并且具有及分功能,而通过FPGA在VGA上显示
  3. 所属分类:硬件开发

    • 发布日期:2010-11-03
    • 文件大小:3145728
    • 提供者:anning131452
  1. EDA—EDA技术实用教程

  2. 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2 ASIC 及其设计流程 2.2.1 ASIC 设计方法 2.2.2 一般ASIC 设计的流程 2.3 常用EDA 工具 2.3.1 设计输入编辑器 2.3.2
  3. 所属分类:硬件开发

    • 发布日期:2010-11-19
    • 文件大小:8388608
    • 提供者:sundyqt
  1. 基于FPGA的桌球游戏的设计与实现

  2. 该代码为基于FPGA的桌球游戏的设计与实现的verilog代码,已DE2开发板上成功验证。
  3. 所属分类:硬件开发

    • 发布日期:2011-12-27
    • 文件大小:1048576
    • 提供者:yeweimian1001
  1. EDA/SOPC 技术实验讲义

  2. 第一章 EDA_VHDL 实验/设计与电子设计竞赛 4 1-1、 应用QuartusII 完成基本组合电路设计 5 1-2. 应用QuartusII 完成基本时序电路的设计 6 1-3. 设计含异步清0 和同步时钟使能的加法计数器 7 1-4. 7 段数码显示译码器设计 8 1-5. 8 位数码扫描显示电路设计 9 1-6. 数控分频器的设计 10 1-7. 32 位并进/并出移位寄存器设计 10 1-8. 在QuartusII 中用原理图输入法设计8 位全加器 11 1-9. 在Quartu
  3. 所属分类:硬件开发

    • 发布日期:2012-04-18
    • 文件大小:3145728
    • 提供者:xiaosong89
  1. 基于FPGA乒乓球游戏的参考设计

  2. 赛灵思自己的FPGA乒乓球游戏实现教程,很有参考意义
  3. 所属分类:嵌入式

    • 发布日期:2012-05-11
    • 文件大小:1048576
    • 提供者:rxf99
  1. DE2平台乒乓球实现

  2. 基于de2开发平台的vga乒乓球游戏的完整实现程序,经测试可以直接使用了。
  3. 所属分类:嵌入式

    • 发布日期:2012-08-26
    • 文件大小:1048576
    • 提供者:cloudaway
  1. 基于FPGA的可视化乒乓球游戏设计

  2. 本人刚入门不久,最近一个月断断续续才写出这个简单的游戏,VGA显示,键盘控制,两人对打的,还有些BUG,比如对对打时键盘方向键的一次按下与松开还有待优化。其实最初没打算会搞这个的,在调出了PS2键盘,鼠标,VGA显示后,在论坛闲逛看到有牛人移植了nes游戏,顿时心潮澎湃,搜集好资料后着手码代码了,当然这其中遇到过很多问题,也解决了不少问题。在此感谢FPGA Prototyping by Examples的作者,全英文的固然看着很头痛、。、、熬过去了,发现作者思维的巧妙,让你茅塞顿开。游戏的最初
  3. 所属分类:其它

    • 发布日期:2013-02-24
    • 文件大小:8388608
    • 提供者:dytdyt2010
  1. VHDL 应用教程

  2. 学习VHDL的入门书籍 目录 第1 章绪 论 ....................................................................................................................1 § 1.1 关于EDA.................................................................................................
  3. 所属分类:专业指导

    • 发布日期:2013-02-25
    • 文件大小:4194304
    • 提供者:trondai
  1. 基于fpga的乒乓球游戏

  2. 基于fpga的乒乓球游戏,vga输出,测试可用
  3. 所属分类:软件测试

    • 发布日期:2013-05-10
    • 文件大小:1048576
    • 提供者:a490296384
  1. 基于PFGA的乒乓球游戏机

  2. FPGA可以很方便的产生视频信号。 乒乓球游戏由一个在屏幕上反复弹跳的小球和用来挡住小球使之反弹的挡板。在这个设计中,挡板的位置由用户通过鼠标来控制。 利于VHDL语言实现硬件电路的设计
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:34816
    • 提供者:wangyan6432
  1. 基于FPGA的乒乓球游戏的设计与实现

  2. FPGA(FieldProgrammableGateArray),现场可编程门阵列。它是继PAL、GAL、CPLD等可编程器件的基础上进一步发展的成果。它作为专用集成电路(ASIC)领域中的一种半定制电路,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:223232
    • 提供者:weixin_38636461