您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. vga的fpga实现

  2. vga的fpga实现完成基于FPGA或CPLD的VGA显示控制器硬件电路图的设计及PCB板图设计制作,完成VGA控制器的软件开发,使其能实现分辨率为640×480,256色的显示功能。
  3. 所属分类:硬件开发

    • 发布日期:2009-05-10
    • 文件大小:274432
    • 提供者:mahanyu101
  1. EDA 技术实用教程

  2. 目 录 第1 章 概述.......................................................................................................................... 1 1.1 EDA 技术及其发展................................................................................................ 1
  3. 所属分类:硬件开发

    • 发布日期:2009-08-24
    • 文件大小:6291456
    • 提供者:sfhgky
  1. EDA—EDA技术实用教程(pdf影印)

  2. 学习VHDL和FPGA的经典资料 第 1 章 概述 1.1 EDA 技术及其发展 1.2 EDA 技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2
  3. 所属分类:硬件开发

    • 发布日期:2010-06-07
    • 文件大小:8388608
    • 提供者:zt839486421
  1. 基于FPGA的VGA显示控制器的实现

  2. VGA作为一种标准的显示接口得到广泛的应用。本论文依据VGA接口设计原理,采用VHDL语言以及Altera公司的Cyclone系列FPGA进行VGA显示控制器的设计,最后给出了Quartus II的仿真结果。
  3. 所属分类:硬件开发

    • 发布日期:2010-07-17
    • 文件大小:276480
    • 提供者:guaguazeezon
  1. 基于CPLD的VGA图形控制器的实现方法

  2. 介绍了如何利用FPGA实现对VGA图像控制器的HDL设计方案。针对不同显示实现要 求。详细描述了各模块的工作原理和实现途径,并在硬件平台上实现了VGA图形控制器显示各 种图形、文字、图像。
  3. 所属分类:C

    • 发布日期:2011-07-14
    • 文件大小:240640
    • 提供者:juancomeon
  1. 基于FPGA的VGA显示控制器的实现

  2. 基于FPGA的VGA显示控制器的实现基于FPGA的VGA显示控制器的实现基于FPGA的VGA显示控制器的实现基于FPGA的VGA显示控制器的实现
  3. 所属分类:教育

    • 发布日期:2011-11-18
    • 文件大小:276480
    • 提供者:honghaier09
  1. Altera FIFO开发资料

  2. altera_ug_fifo.pdf audio_dac_fifo.rar FIFO中文应用笔记.pdf FIFO基础知识.doc FPGASoPC软硬件协同设计纵横谈.pdf FPGA的VGA视频输出工程文件// freedev_vga FPGA的VGA视频输出工程文件.rar FreeDev FPGA音频开发环境和平台构建.pdf Nios系统基础上的UItra DMA数据传输模式.doc SD_Card_Audio// Audio_DAC_FIFO_altera的ip核 DE2_SD_C
  3. 所属分类:硬件开发

    • 发布日期:2013-07-26
    • 文件大小:12582912
    • 提供者:originator
  1. 基于basys3的VGA驱动设计(VHDL)

  2. 基本要求:通过FPGA板的VGA接口在显示器上分别显示不同颜色的横向、竖直条纹图案,横向条纹和竖直条纹的切换通过FPGA板上的按键实现。 横向条纹要求是一幅640*480由8条不同颜色的横向条纹组成的图像,从上到下颜色分别为:红,蓝,绿,蓝,红,绿,红,蓝; 竖直条纹要求是一幅640*480由8条不同颜色的竖直条纹组成的图像,从左到右颜色分别为:红,蓝,绿,蓝,红,绿,红,蓝。 高级要求(可选):通过VGA控制器,在屏幕上显示640*480的单色背景,并在该背景上叠加一个小方块,该小方块能够再
  3. 所属分类:其它

    • 发布日期:2016-04-21
    • 文件大小:611328
    • 提供者:u014286918
  1. 基于FPGA的线阵CCD图像采集与处理系统设计

  2. 常见色选机CCD图像采集与处理系统采用FPGA图像采集加CPU/DSP软件串行处理图像方案。本文针对该方案系统复杂、处理速度不能满足高实时性要求等缺点,提出将线阵CCD数字图像采集与图像处理集成在同一块现场可编程门阵列(Field Programmable Gate Array,FPGA)芯片上的方案。该方案简化了CCD图像采集与处理系统,利用硬件描述语言实现的色选算法,因其具有的并行特点,处理速度更快,能够满足更高实时性需求。本文首先设计制作了线阵CCD图像采集与处理系统硬件电路平台。经调试,
  3. 所属分类:专业指导

    • 发布日期:2020-03-20
    • 文件大小:5242880
    • 提供者:zj_cai
  1. 基于FPGA的VGA图形控制器设计

  2. 利用FPGA设计并实现了一种VGA图形控制器。根据VGA显示原理,利用VHDL作为逻辑描述语言,在Xilinx的开发板Nexys2上完成了设计的功能。通过按动开发板上的按键可切换显示屏显示的图形,可实现横条纹、竖条纹、方格棋盘等8 bit彩色图形的显示。
  3. 所属分类:其它

    • 发布日期:2020-08-31
    • 文件大小:235520
    • 提供者:weixin_38608378
  1. 基于FPGA的实时视频信号处理平台的设计

  2. 提出一种基于FPGA的实时视频信号处理平台的设计方法,该系统接收低帧率数字YCbCr视频信号,对接收的视频信号进行格式和彩色空间转换、像素和,利用片外SDRAM存储器作为帧缓存且通过时序控制器进行帧率提高,最后通过VGA控制模块对图像信号进行像素放大并在VGA显示器上实时显示。整个设计使用Verilog HDL语言实现,采用Altera公司的EP2S60F1020C3N芯片作为核心器件...
  3. 所属分类:其它

    • 发布日期:2020-08-29
    • 文件大小:659456
    • 提供者:weixin_38711369
  1. 基于FPGA的VGA控制器实现

  2. 摘要:依据标准的VGA显示接口的显示原理,介绍了一种利用可编程逻辑器件FPGA,并以VerilogHDL语言为逻辑描述工具来完成VGA接口的控制,从而实现简单的彩色条纹显示的具体方法。关键宇:VGA;FPGA;VerilogHDL;彩色
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:185344
    • 提供者:weixin_38704284
  1. 基于FPGA的VGA显示控制器的设计

  2. 该显示控制器是基于Xilinx公司的Spartan-IIE系列FPGA XC2S300E-6-PQ208C设计实现的。此FPGA逻辑资源丰富,其内有30万个系统门,6912个逻辑单元(LC),1536个可配置逻辑快(CLB),64Kbit的块RAM,146个可用的I/O口,4个数字延迟锁相环(DLL)。块RAM可实现大量数据的内部存储,延迟锁相环可对时钟进行管理,可自动调整并消除输入时钟与FPGA内部时钟之间的相位偏移,同时还可实现对时钟的分频、倍频和移相。 用于帧缓存的两个SDRAM
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:148480
    • 提供者:weixin_38531788
  1. 基于FPGA 的VGA 图形控制器的实现方法

  2. 引言VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA芯片和EDA设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA显示控制器,不仅能够大大降低成本,还可以满足生产实践中不断变化的用户需要,产品的升级换代方便迅速。在本设计中采用了Altera公司的EDA软件工具QuartusII,并以ACEX系列FPGA的器件为主实现硬件平台的设计。1基于FPGA的VGA图形控制器系统框图根据自顶向下的程序设计思想,采用模块化设计,我们对VGA图形控制器进行功能分离并按层次
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:306176
    • 提供者:weixin_38513794
  1. 基于FPGA的VGA图形控制器设计

  2. 利用FPGA设计并实现了一种VGA图形控制器。根据VGA显示原理,利用VHDL作为逻辑描述语言,在Xilinx的开发板Nexys2上完成了设计的功能。通过按动开发板上的按键可切换显示屏显示的图形,可实现横条纹、竖条纹、方格棋盘等8 bit彩色图形的显示。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:236544
    • 提供者:weixin_38625464
  1. 基于FPGA高速图像数据的存储及显示设计

  2. 设计了一种基于FPGA控制Nand Flash阵列实现高速流水线式存储的方案。设计利用FPGA作为主控制器,通过CameraLink输入通信接口将图像数据经过一/二级缓存写入Flash存储阵列中,并采用DMA传输技术将存储后的图像数据上传至计算机硬盘中作进一步处理;同时,利用SDRAM显存实时刷新数据,FPGA构造相应的VGA信号,最终实现100 MB/s图像数据的实时显示。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:412672
    • 提供者:weixin_38551837
  1. EDA/PLD中的基于FPGA 的VGA 图形控制器的实现方法

  2. 引言   VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA 芯片和EDA 设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA 显示控制器,不仅能够大大降低成本,还可以满足生产实践中不断变化的用户需要,产品的升级换代方便迅速。   在本设计中采用了Altera 公司的EDA 软件工具Quartus II ,并以ACEX系列FPGA 的器件为主实现硬件平台的设计。   1 基于FPGA 的VGA 图形控制器系统框图   根据自顶向下的程序设计思想,采用
  3. 所属分类:其它

    • 发布日期:2020-11-02
    • 文件大小:217088
    • 提供者:weixin_38672962
  1. EDA/PLD中的基于FPGA的VGA时序彩条信号设计实现

  2. 0 引 言   利用现场可编程逻辑器件产生VGA时序信号和彩条图像信号,并将其作为信号源,应用于电视机或计算机等彩色显示器的电路开发,方便彩色显示器驱动控制电路的调试。计算机显示器的显示有许多标准,常见的有VGA,SVGA等。在这里尝试用FPGA实现 VGA图像显示控制器,这一过程通过编程实现,之后通过软件的测试和仿真,当软件验证无误后完成硬件的下载验证,最终在CRT显示器上实现输出,基本原理就是利用FPGA的可编程原理和VGA的时序控制原理,这在产品开发设计中有许多实际应用。   1 VG
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:284672
    • 提供者:weixin_38708361
  1. 基于FPGA的VGA时序彩条信号设计实现

  2. 0 引 言   利用现场可编程逻辑器件产生VGA时序信号和彩条图像信号,并将其作为信号源,应用于电视机或计算机等彩色显示器的电路开发,方便彩色显示器驱动控制电路的调试。计算机显示器的显示有许多标准,常见的有VGA,SVGA等。在这里尝试用FPGA实现 VGA图像显示控制器,这一过程通过编程实现,之后通过软件的测试和仿真,当软件验证无误后完成硬件的验证,终在CRT显示器上实现输出,基本原理就是利用FPGA的可编程原理和VGA的时序控制原理,这在产品开发设计中有许多实际应用。   1 VGA显示
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:408576
    • 提供者:weixin_38628310
  1. 基于FPGA 的VGA 图形控制器的实现方法

  2. 引言   VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA 芯片和EDA 设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA 显示控制器,不仅能够大大降低成本,还可以满足生产实践中不断变化的用户需要,产品的升级换代方便迅速。   在本设计中采用了Altera 公司的EDA 软件工具Quartus II ,并以ACEX系列FPGA 的器件为主实现硬件平台的设计。   1 基于FPGA 的VGA 图形控制器系统框图   根据自顶向下的程序设计思想,采用
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:307200
    • 提供者:weixin_38576922
« 12 »