您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 二进制多字节定点数运算

  2. 二进制定点数运算,加减乘除,有符号运算,非常经典!
  3. 所属分类:C/C++

    • 发布日期:2009-08-03
    • 文件大小:7168
    • 提供者:june121457015
  1. 汇编定点数和浮点数之间的转换

  2. 无意中整理电脑发现有个这个文件,是用汇编语言写的,用来描述讲定点数和浮点数之间关系的一些用法程序范例及其他一些常用范例
  3. 所属分类:硬件开发

    • 发布日期:2010-03-15
    • 文件大小:235520
    • 提供者:soco2004
  1. 定点数转浮点运算

  2. 本软件实现定点数转化为浮点数的运算。
  3. 所属分类:专业指导

    • 发布日期:2007-12-14
    • 文件大小:473088
    • 提供者:hunzhiafei2008
  1. 定点数与浮点数.pdf

  2. 一篇介绍定点浮点的好文章,大家可以看看
  3. 所属分类:专业指导

    • 发布日期:2007-12-27
    • 文件大小:243712
    • 提供者:gjj12312
  1. 定点数浮点数计算 加减乘除

  2. 很不错的课件,能够给初学者很大的帮助,内容很详细,值得一看,尤其是刚刚学习计算机组成原理的同学。
  3. 所属分类:专业指导

  1. 浮点定点数的区别—FPGA应用中的注意事项

  2. 讲解浮点定点数具体特性—FPGA应用中的注意事项
  3. 所属分类:硬件开发

    • 发布日期:2011-01-10
    • 文件大小:178176
    • 提供者:fengpiaopiao0
  1. 关于浮点数的存储格式的问题

  2. 浮点数的存储格式 基于IEEE 754的浮点数存储格式 IEEE(Institute of Electrical and Electronics Engineers,电子电气工程师协会)在I985年制定的IEEE 754(IEEE Standard for Binary Floating-Point Arithmetic, ANSI/IEEE Std 754-1985 )二进制浮点运算规范,是浮点运算部件事实上的工业标准。 在计算机系统的发展过程中,曾经提出过多种方法表示实数,但是到目前为止使
  3. 所属分类:Microsoft

    • 发布日期:2011-05-16
    • 文件大小:166912
    • 提供者:wanglf1986
  1. 定点数浮点数介绍

  2. 定点数浮点数介绍,学习学习
  3. 所属分类:专业指导

    • 发布日期:2008-04-18
    • 文件大小:243712
    • 提供者:Young_wu
  1. 定点数和浮点数

  2. 定点数和浮点数
  3. 所属分类:嵌入式

    • 发布日期:2012-05-11
    • 文件大小:542720
    • 提供者:littlefishyp
  1. 用int的定点数来做float的相乘

  2. 实现一个精简的 C++ 类, 用int的定点数来做float的相乘.
  3. 所属分类:C++

    • 发布日期:2008-09-09
    • 文件大小:1024
    • 提供者:daviddr
  1. 计算机组成原理定点数、浮点数等运算方法复习

  2. 计算机组成原理定点数、浮点数等运算方法复习,包括原码、补码、移码等介绍,以及定点数、浮点数的加减乘等运算。
  3. 所属分类:专业指导

    • 发布日期:2013-08-31
    • 文件大小:4194304
    • 提供者:qiao1043
  1. 常用定点数算术计算单元(verilog实现)

  2. 包含定点数常用算数逻辑单元,包含常用加法器,乘法器,除法器,都是有符号计算的。另外包含了testbench测试程序,全verilog实现
  3. 所属分类:嵌入式

  1. 帧同步开发-【标准定点数库】

  2. 标准定点数库,专业!专业!专业!重要的事情说三遍! [FP] [FPMath] [FPMatrix2x2] [FPQuaternion] [FPVector2] [FPVector3]
  3. 所属分类:Unity3D

    • 发布日期:2020-07-23
    • 文件大小:21504
    • 提供者:weixin_38666403
  1. 定点数转浮点数verilog

  2. 本文目的是记录学习《数字信号处理的FPGA实现》过程中,用verilog语言实现简单的定点数到浮点数转换的经历。
  3. 所属分类:其它

    • 发布日期:2020-08-02
    • 文件大小:44032
    • 提供者:weixin_38619207
  1. 定点数开方子程序

  2. 下面介绍一下定点数开方子程序,这是源代码。
  3. 所属分类:其它

    • 发布日期:2020-08-02
    • 文件大小:43008
    • 提供者:weixin_38683562
  1. DSP中浮点转定点运算--定点数的加减乘除运算

  2. 本文主要介绍DSP中定点数的加减乘除运算,很值得学习一下,需要的朋友可以参考一下。
  3. 所属分类:其它

    • 发布日期:2020-09-02
    • 文件大小:40960
    • 提供者:weixin_38712548
  1. DSP中浮点转定点运算--定点数模拟浮点数运算及常见的策略

  2. 本文主要讲解DSP中定点数模拟浮点数运算及常见的策略,具有参考价值,需要的朋友可以参考一下。
  3. 所属分类:其它

    • 发布日期:2020-09-02
    • 文件大小:47104
    • 提供者:weixin_38635794
  1. DSP中浮点转定点运算–定点数模拟浮点数运算及常见的策略

  2. 4.定点数模拟浮点数运算及常见的策略   相信大家到现在已经大致明白了浮点数转换成定点数运算的概貌。其实,原理讲起来很简单,真正应用到实际的项目中,可能会遇到各种各样的问题。具我的经验,常见的策略有如下几条: 1)除法转换为乘法或移位运算 我们知道,不管硬件平台如果变换,除法运算所需要的时钟周期都远远多于乘法运算和加减移位运算,尤其是在嵌入式应用中,“效率”显得尤为重要。以笔者的经验,其实,项目中的很大一部分除法运算是可以转换成乘法和移位运算,效率还是有很大提升空间的。 2)查表计算 有些运算表
  3. 所属分类:其它

    • 发布日期:2020-12-31
    • 文件大小:49152
    • 提供者:weixin_38655309
  1. zynq hls定点数计算

  2. 在神经网络的fpga加速中,定点运算不仅比浮点运算更节省资源,还具有更快的速度,而且因为定点运算造成的神经网络的精度损失亦可忽略不计。本节介绍如何使用HLS进行定点运算以及如何与zynq cpu交互。 HLS中,有头文件ap_fixed.h,极大的方便了我们使用定点数,具体情况略。 HLS代码示例 #include #include typedef ap_fixed data_t; data_t fixed_test(volatile data_t *src1,volatile data_t
  3. 所属分类:其它

    • 发布日期:2021-01-06
    • 文件大小:45056
    • 提供者:weixin_38519387
  1. DSP中浮点转定点运算–定点数的加减乘除运算

  2. 3.定点数的加减乘除运算 简单的说,各种运算的原则就是先把待运算的数据放大一定的倍数,在运算的过程中使用的放大的数据,在最终需要输出结果的时候再调整回去。 举个例来说,有如下运算: 代码如下:… // coefs1 = 0.023423; coefs2=0.2131 float coefs1,coefs2; int result; … result = 34* coefs1+72* coefs2; … 代码的意思是,该模块需要输出一个整型的结果,但计算的过程中有浮点的运算。如果在定点的DSP中
  3. 所属分类:其它

    • 发布日期:2021-01-21
    • 文件大小:41984
    • 提供者:weixin_38524139
« 12 3 4 5 6 7 8 9 10 ... 23 »