您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Asp.Net Forums v2.3.2130 官方中文(一个非常有技术含量的论坛)源码!

  2. Asp.Net Forums │ ASP.net Forums V2.0中文技術白皮書.txt │ ASP.NET Forums.sln 解決方案文件,使用vs.net打開編輯 │ ANF中文本地化版本文件說明.doc(本文件) │ 內部開發版說明.txt │ 安裝說明.txt │ 介面預覽.JPG │ ├─[Components] 元件專案-實體操作類 │ │ AssemblyInfo.cs 程式集編譯資訊 │ │ BlockedIpAddresses.cs IP禁止 │ │ C ensor
  3. 所属分类:C#

    • 发布日期:2009-07-13
    • 文件大小:15728640
    • 提供者:yzxmyspace
  1. Image2Lcd

  2. Image2Lcd 是一款工具軟體,它能使你把各種來源的圖片轉換成特定的數據格式以用來匹配單片機系統所需要的顯示數據格式。Image2Lcd支持的輸入影像格式包括: BMP, WBMP, JPG, GIF, WMF, EMF, ICO, 等等。Image2Lcd的輸出數據類型包括定制的二進位類型、C語言數組類型和標準的BMP格式、WBMP格式。Image2Lcd能可視調節輸入影像的數據掃描方式、灰度(顏色數)、影像數據排列方式、亮度、對比度、等等。對于包含了影像頭數據保存的影像數據檔案,Ima
  3. 所属分类:C

    • 发布日期:2007-11-12
    • 文件大小:550912
    • 提供者:albert_sg
  1. HTC HERO用户手册

  2. 內容 第 1 章 - 手機基本資訊 23 1.1 手機及配件 ........................................................................................................23 前面板............................................................................................................
  3. 所属分类:Android

    • 发布日期:2010-04-23
    • 文件大小:8388608
    • 提供者:REVOLY
  1. SWiSH Max3 繁体中文帮助手册

  2. 快閃高手3 (SWiSH Max3) 是一個完整的 Flash(TM) 動畫編寫應用程式。可以建立出色及強大的 Flash(TM) 動畫,而不需要使用 Adobe Flash(TM)。 快閃高手3 非常容易使用,並且可以產生複雜的動畫、文字、圖片、圖形、視訊及音效。快閃高手3 具有直覺並容易使用介面的線條、矩形、橢圓、向量及手繪曲線、移動路徑、影片剪輯、變化按鈕、及輸入表單等工具。 早期的版本叫作 SWiSH Lite、SWiSH2 之後為 SWiSH Max。快閃高手3 是最後加入 SWiS
  3. 所属分类:Flash

    • 发布日期:2010-05-04
    • 文件大小:11534336
    • 提供者:rmzcc
  1. 慢走丝编程2001到2009都可以用

  2. PressCAD沖模設計軟体for AutoCAD版之安裝說明 一﹑軟体要求: 1. 作業系統可為WINDOWS95/98/ME/2000/NT/XP或更高版本。 2. AutoCAD版本可為AutoCADR14/2000/2002或更高版本。 二﹑自動安裝: 1.在WINDOWS95/98/me操作系統下 將光碟片(盤)放入光碟機(驅)待出現安裝畫面時,一直按 NEXT 即可完成安裝。如下所示: (1) 在授權合約中要選擇“是” 。 (2) 在選擇安裝路徑時,本公司推荐安裝在“D”盤或其他盤
  3. 所属分类:硬件开发

    • 发布日期:2011-04-14
    • 文件大小:1048576
    • 提供者:wodudu0003
  1. Delphi开发的多页面浏览器2008年6月編寫

  2. 首先申明:1:本人是新人,沒有分不能下載其他高手的資源,所以才要積分下載2:此程序正在編寫中。拿出來目的是希望能和有這個有興趣的朋友認識,一起研究學習。然後提出一系列問題希望有高手能指點一二。3:如果你電腦沒有安裝DELPHI 還需要幾個文件才可以運行(為了減小主程序大小!)已上大家上傳下載地址(放到此程序同一目錄及可):http://download.csdn.net/source/493197目前已實際的功能有:1.輸入網址回車與直接選擇地址欄項都是直接打開頁面,選擇後面的搜索。可在地址欄
  3. 所属分类:Delphi

    • 发布日期:2008-06-12
    • 文件大小:51200
    • 提供者:devhp
  1. 可靠稳定和高效的LED日光灯驱动芯片CXL9910

  2. CXL9910(用于LED日光灯T8 T10) LED恆流驅動IC(代替SMD802/HV9910) 概述: 該CXL9910是一個 PWM 的高效率LED 恆流驅動控制IC。它可以有效控制的高亮度LED 的電壓源從8VDC 高達 500VDC 時。該CXL9910 控制固定的一個外部MOSFET 開關頻率高達 500 千赫。該頻率可以通過單個電阻。 輸出恆定電流控制LED 串的亮度,而不是恆定電壓,從而提供恆定電流的LED 光輸出和可靠性。輸出電流可 從幾毫安到高達 1.0 安培以上。 特點
  3. 所属分类:制造

    • 发布日期:2012-10-11
    • 文件大小:30720
    • 提供者:chengxinlian
  1. AutoCAD初階應用1

  2. AutoCAD初階應用 一部分 第一節 第一角與第三角投影法----(45mins) 第二節 AutoCAD 2004入門--------(25mins) 第三節 AutoCAD 2004繪圖設置----(20mins) 第四節 繪制二維圖形------------(15mins) 第五節 編輯命令----------------(105mins) 二部分 第六節 尺寸標注---------------- (60mins) 第七節 塊定義------------------(30mins) 第
  3. 所属分类:专业指导

    • 发布日期:2013-05-28
    • 文件大小:1026048
    • 提供者:u010862049
  1. DN概念及应用公式

  2. 图像处理 數位影像處理技術。 主要是利用迴旋定理表現在圖元像素的灰度值變化、灰度值分佈情形和相鄰像素灰度值總和變化。藉由比較及適度處理輸入影像的灰度值以偵測出欲尋求物體的相關資訊。一般而言,利用此種方式有較快的處理速度。
  3. 所属分类:制造

    • 发布日期:2013-06-24
    • 文件大小:1048576
    • 提供者:u010334198
  1. Fortran语言MPI程序设计

  2. 此文档描述了Fortran上mpi的使用。 Fortran語言 1 MPI 平行計算程式設計 1 第一章 前言 5 1.1 MPI 平行計算軟體 6 1.2 國家高速網路與計算中心的平行計算環境 7 1.3 在IBM 電腦系統上如何使用MPI 8 1.3.1 IBM 電腦系統的MPI Fortran程式編譯指令 8 1.3.2 IBM 電腦系統的 Job command file 8 1.3.3 IBM 電腦系統的平行程式的執行指令 11 1.4 在PC Cluster上如何使用MPI 13
  3. 所属分类:其它

    • 发布日期:2013-07-05
    • 文件大小:1048576
    • 提供者:bingxiang555
  1. SQL注入攻擊與防禦

  2. SQL注入是Internet上最危險、最有名的安全漏洞之一,本書是目前唯一一本專門致力于講解SQL威脅的圖書。本書作者均是專門研究SQL注入的安全專家,他們集眾家之長,對應用程序的基本編碼和升級維護進行全面跟蹤,詳細講解可能引發SQL注入的行為以及攻擊者的利用要素,並結合長期實踐經驗提出了相應的解決方案。針對SQL注入隱蔽性極強的特點,本書重點講解了SQL注入的排查方法和可以借助的工具,總結了常見的利用SQL漏洞的方法。另外,本書還專門從代碼層和系統層的角度介紹了避免SQL注入的各種策略和需要
  3. 所属分类:网络攻防

    • 发布日期:2013-11-04
    • 文件大小:42991616
    • 提供者:u012720762
  1. PMBOK中的輸入-工具-輸出圖

  2. PMBOK圖 3-8章
  3. 所属分类:其它

    • 发布日期:2014-04-17
    • 文件大小:4194304
    • 提供者:yxh0729
  1. Audacity v2.0.2 Final 中文正式版

  2. 說明: 請將123資料夾複製到主硬碟中,在執行"audacity.exe"即可使用。 內容說明: Audacity 是一款開放原始碼且跨平台的錄音和聲音編輯軟體,可執行於 Mac OSX、Microsoft Windows、GNU/Linux 和其他作業系統。程式啟動後為簡體中文,請從「編輯」中最下面一項去改為繁體中文。 根據官方網站的說明,Audacity 的主要特色如下: 錄音 Audacity 可透過麥克風或混音器進行現場錄音,也能從卡式磁帶、唱片或 MD 轉錄數 位化錄音。透過某些音效
  3. 所属分类:Windows Server

    • 发布日期:2014-07-23
    • 文件大小:24117248
    • 提供者:fillmores
  1. TDK优盘原厂格式化和加密工具FlashLock V227.rar

  2. 软件介绍: TDK 8G U盘里面附带的原厂格式化软件防護軟體LOCK軟體只限制於TDK隨身碟本身使用,其他牌子的隨身碟無法使用。一個LOCK程式只能使用在一個隨身碟產品上。Windows 98  用戶,使用前請先安裝驅動程式。Windows 2000  用戶,使用前請先將作業系統升級至ScrvicePack3(SP3)或ScrvicePack4(SP4)。Lock軟體為專為Windows作業系統設計。簡介本產品除了資料儲存外更提供高度安全的保密功能。另可分割磁碟,調整密碼保護 區及公共區的大
  3. 所属分类:其它

    • 发布日期:2019-09-05
    • 文件大小:4194304
    • 提供者:weixin_38744153
  1. 交流定电压、定电流控制系列(拉丝机连续退火控制器) 使用说明书.pdf

  2. 交流定电压、定电流控制系列(拉丝机连续退火控制器) 使用说明书pdf,交流定电压、定电流控制系列(拉丝机连续退火控制器) 使用说明书四.內部配線圖: GI CT MAIN SOURCE S V X LOAD u w T WT-RCO01 J2 RC UNIT FBOLAC GlKI-G3K3 G4K4-G6K6 J4 GlK1-G3K3 G4K4-G6K6 POWER SOURCE J3 WT-7110 I12 OP E3 E2 E VOLTAGE SETTING J2 WT-RE717A F1
  3. 所属分类:其它

    • 发布日期:2019-10-19
    • 文件大小:1048576
    • 提供者:weixin_38743968
  1. 台仪TAIE详细操作手册.pdf

  2. 台仪TAIE详细操作手册pdf,台仪TAIE详细操作手册8.5.2操作說叨 章··D看 .鲁看 Page 29 9輸入信唬選擇表 Pagc 30 10警報 10.1警報時間( ALTIALT2ALT3)說明 Page 32 10.2SETA說………………………………… …Page32 10.3警報動作說町 Page 33 11加熱器斷線警報(HBA) Page 34 1.1HBA功能示意圖 ……Page34 11.2HBA動作說明… age 113HBA設定流程圖……………… Page 35 1
  3. 所属分类:其它

    • 发布日期:2019-10-14
    • 文件大小:2097152
    • 提供者:weixin_38744207
  1. 普传变频器7600-7800系列使用说明.pdf

  2. 普传变频器7600-7800系列使用说明pdf,普传变频器7600-7800系列使用说明竻一章检查与安仝注意事项 使用范围 第二章安装及备用电路 ※本变频器仅适用于一般的工业三相交流异步电动机。 ※本变频器只能用在本公司认可的场合,未经认可的使用环境可能导致火灾 触电、爆炸等事件。 使用环境 ※如果用于因变频器失灵而可能造成人身伤亡的设备(例如:运输人员的升 ()环境温度℃~℃ 降设备、航空系统、安全设备等),必须慎重处理,在这种情况下,请向厂家 )防止电磁干扰、远离干扰源。 咨询 ()防止水滴
  3. 所属分类:其它

    • 发布日期:2019-10-09
    • 文件大小:2097152
    • 提供者:weixin_38743602
  1. 各类传感器产品手册/说明书/通信协议.pdf

  2. 各类传感器产品手册/说明书/通信协议pdf,光电传感器、接近传感器、压力传感器、液位传感器、流量传感器、红外线温度传感器、温湿度传感器、热电阻/热电偶、信号/通信避雷器等DSXX小型光電系列之二 特色 PRT強化塑膠外殼 ONPN+ PNP 盯購指南 規格 DS-10XN○-x 4 1.距離:5cm~5Ccm(可調反射犁 類 擴散反射烈 鏡片反射型 2.出:NONE:冒流出力(標準型),R:電壓出力 型號常開型DS-1 CNO DS-3 NO DS-50NODS-20CN 3.翰出:常開型:NO
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:13631488
    • 提供者:weixin_38743481
  1. 台达可编程控制器(PLC)DVP04AD-SL安装说明.pdf

  2. 台达可编程控制器(PLC)DVP04AD-SL安装说明pdf,台达可编程控制器(PLC)DVP04AD-SL安装说明:。DVP04AD-SL 模拟量信号输入模块可透过DVPSV/EH2-L 主机程序以指令FROM/TO 来读写数据。模拟量信号输入模块接受来自PLC主机的4 组16 位数字数据,再将数字数据转换为4 点模拟量信号输入(电压或电流皆可)。模块内具有多个CR (Control Register) 寄存器,每个寄存器有16bits。使用者可经由配线选择电压输入或电流输入,电压输入范围 -
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:915456
    • 提供者:weixin_38744153
  1. 基本線路圖原理.ppt

  2. 圖中R3為反饋電阻﹐R1与R3构成反饋网絡﹐R2為靜態平衡電阻﹐其值為R2=R1//R3. 從理想的放大器的概念出發來分析放大器的性能﹒因為Ii=0,U1=U2,故有 I1=I3 U1=U2=0 而 I1= Ui/R1 I3=-U0/R3 于是可求得電壓增益 A=U0/Ui=-R3/R1
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:674816
    • 提供者:weixin_38743968
« 12 3 »