您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. :介绍了用EDA 技术设计电子电路的特点,并与传统的设计方法做了比较,描述了运用EDA 设计工

  2. :介绍了用EDA 技术设计电子电路的特点,并与传统的设计方法做了比较,描述了运用EDA 设计工 具VHDL 硬件描述语言设计函数信号发生器的过程,给出了程序代码和仿真波形
  3. 所属分类:嵌入式

    • 发布日期:2010-06-21
    • 文件大小:8388608
    • 提供者:MENGDALOU
  1. 基于EDA层次化设计方法的出租车计费器设计

  2. :出租车计费器一般采用以单片机为核心的设计方法,设计不够灵活方便。为此,在此介绍了采用EDA技术的层次化设计方法设计出租车计费器的方法。即用VHDL编写各个功能模块,实现低层设计;用原理图输入方式描述各模块间的关系,实现顶层设计。采用FPGA可编程逻辑器件为系统控制单元,无需添加外围电路,更新功能仅需修改软件。实验表明,该设计方法简单快捷,所设计的系统性能可靠。应用该方法设计的数字电子系统具有很强的灵活性。
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:179200
    • 提供者:weixin_38716519
  1. EDA/PLD中的基于CPLD的LED显示屏控制电路解决方案

  2. 导读:LED电子显示技术发展迅速,已成为当今平板显示领域的主导之一,本文着重介绍了用M4A5-128P64-10VC设计LED显示屏的控制电路。   引言   近年来,随着计算机技术和集成电路技术的飞速发展,得到广泛应用的大屏幕显示系统当属视频LED显示系统。在LED显示技术中,由于红色、绿色发光二极管的亮度、光效色差等性能也得到了很大的提高,加之计算机多媒体制作软件的发展,现在伪彩视频LED显示系统的制造成本大大降低,应用领域不断增加。这种伪彩色视频LED显示系统采用了计算机多媒体技术,全
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:118784
    • 提供者:weixin_38564990
  1. EDA/PLD中的一种高效咬尾卷积码译码器的设计与仿真

  2. 摘要:介绍了咬尾卷积码的最优和次最优译码算法的实现细节。给出了采用新的蝶形图计算方法和环形内存来节省硬件资源的实现方法,最后给出了次最优算法在FPGA上的实现结果。   0 引言   自1955年Elias发明卷积码以来,卷积码作为一种高效的信道编码已被用在许多现代通信系统中。卷积码分为零比特卷积码(Zero Tail CC,简称ZTCC)和咬尾卷积码(Tail Biting CC,简称TBCC)两种。ZTCC是指在编码的时候,码字后面要另外加上K(K为约束长度)个0将编码寄存器的最后状态打
  3. 所属分类:其它

    • 发布日期:2020-11-05
    • 文件大小:257024
    • 提供者:weixin_38619967
  1. EDA/PLD中的Visual C++中调用DLL实现数据加密

  2. 摘 要:介绍了动态链接库这种模块复用方法及在VC中对它的调用,并给出了一个通过复用来实现数据加密的具体实例。引言  模块化思想贯穿于软件工程各个发展阶段,模块复用是构建大系统的一种重要思想。模块复用方法有:函数、函数库、动态链接库、COM。其都是基于模块化的基本思想。函数是最简单的模块化思想,也是后面方法的基础,甚至是一个应用程序的基础。函数库是函数的组合,一般将一些功能相似的函数放在一起作为函数库,这种函数库通常叫做静态库,其链接方式是静态的。COM即组件对象模型,是一种集成技术,可以使程序在
  3. 所属分类:其它

    • 发布日期:2020-11-18
    • 文件大小:89088
    • 提供者:weixin_38647517
  1. EDA/PLD中的VHDL语言在EDA仿真中的应用

  2. 摘 要:介绍了VHDL语言及其基本特点,讨论了VHDL语言在EDA中的诸多优点,并以交通信号灯主控制电路的设计为例,说明了用VHDL语言设计数字电路的方法以及VHDL语言在数字电路设计仿真中的重要作用,给出了交通信号灯主控制电路的时序仿真波形。仿真结果表明VHDL语言应用于数字电路仿真是切实可行的,在跟踪性和快速性方面达到了令人满意的效果。  关键词:VHDL;仿真;EDA;数字电路   随着电子技术的发展,数字系统的设计正朝高速度、大容量、小体积的方向发展,传统的自 底而上的设计方法已难以
  3. 所属分类:其它

    • 发布日期:2020-12-01
    • 文件大小:193536
    • 提供者:weixin_38717574
  1. EDA/PLD中的用CPLD实现DSP与背板VME总线之间的连接

  2. 摘要:介绍了采用CPLD实现DSP 芯片TMS320C6713 和背板VME总线之间高速数据传输的系统设计方法。设计中采用VHDL语言对CPLD进行编程。同时由于CPLD的现场可编程特性,增强了整个系统的灵活性。  关键词:CPLD;DSP;HPI;硬件描述语言 1 引言  CPLD是一种用户可以根据自行需要而自己能够设计构造其逻辑功能的数字集成电路系统,实现了硬件设计的软件化。CPLD具有丰富的可编程I/O引脚,具有在系统可编程( In System programmability)、使用方
  3. 所属分类:其它

    • 发布日期:2020-12-01
    • 文件大小:78848
    • 提供者:weixin_38622467
  1. EDA/PLD中的换体DMA高速数据采集电路的CPLD实现

  2. 摘要:介绍了块体DMA高速数据采集电路原理及其CPLD实现。用CPLD设计双端口RAM缓存、控制译码、时序逻辑电路,很好地解决了电路元件所占体积大、电路复杂、不能实现在线升级等问题,大大提高了系统的整体性能。     关键词:换体DMA CPLD 双端口RAM 在线升级 在许多仪器和控制系统中,高速数据采集电路是必不可少的,也是经常需要解决的问题。数据采集电路设计方法很多,但往往离不开A/D转换电路、数据缓存电路、控制逻辑电路、地址发生器、址译码电路等。而数据缓存、控制逻辑、地址译码等电路
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:189440
    • 提供者:weixin_38614952
  1. EDA/PLD中的CPLD在发射机控制保护系统中的应用

  2. 摘要:可编程控制器(PLD)自20世纪60年代末出现以来,就以其灵活、高效、可靠性高等优点受到设计者的青睐。而CPLD是20世纪90年代推出的一种复杂的PLD,其主要特征是集成规模大于1000门以上的可编程逻辑器件。它以其更大的容量,更快的速度,更强的仿真能力,增强了电路设计的灵活性。不但降低了开发成本,而且减小了设计风险。因此,在工业领域得到广泛的应用。根据发射机的工作特点,介绍了用CPLD完成对发射机的控制和保护功能。 关键词:可编程控制器;复杂的可编程控制器;发射机;控制保护系统引言
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:104448
    • 提供者:weixin_38514732
  1. EDA/PLD中的可编程逻辑器件APEX20K的原理及应用

  2. 摘要:介绍了Altera公司生产的多核架构可编程逻辑器件APEX20K系列芯片的主要特点和结构功能,给出了APEX20K内含的ClockLock以及ClockBoost电路的典型应用实例。 关键词:可编程逻辑器件 在系统设计 FPGA APEX20K1 主要特点APEX20K是Altera公司生产的首款带有多核架构的可编程逻辑器件,密度在30 000到1 500 000门,时钟速度高达822MHz。这种多核结构克服了必须用多个器件来实现系统级设计的麻烦,同时也节省了PCB板的空间。由
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:87040
    • 提供者:weixin_38732463
  1. EDA/PLD中的一种可编程的全数字锁相环路的实现

  2. 摘要:介绍了一种基于FPGA可编程技术实现的用于无线通信实验系统的全数字锁相环路。详细叙述了其工作原理、工作性能、电路实现和仿真结果。 关键词:FPGA 全数字锁相环路 VHDL语言锁相环路已在模拟和数字通信及无线电电子学等各个领域中得到了极为广泛的应用,特别是在数字通信的调制解调和位同步中常常要用到各种各样的锁相环。锁相就是利用输入信号与输出信号之间的相位误差自动调节输出相位使之与输入相位一致,或保持一个很小的相位差。最初的锁相环全部由模拟电路组成,随着大规模、超高速数字集成电路的发展及
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:91136
    • 提供者:weixin_38719702
  1. EDA/PLD中的基于FPGA的数字复接系统帧同步器设计与实现

  2. 摘要:介绍了应用FPGA技术进行帧同步器设计的实现原理、系统框图及设计中需要注意的问题,给出了用VHDL描述的几个模块的源代码。 关键词:数字复接;帧同步器;FPGA在数字通信网中,为了提高传输效率,常常需要将若干路低速数字信号合并成一路高速数字信号,以便通过高速信道进行传输。实现此功能的设备称为数字复接系统。数字复接系统包括发送端和接收端两部分,通常称为复接器和分接器。为了使分接器的帧状态相对于复接器的帧状态获得并保持相位关系,以便正确地实施分接,数字复接系统在发送端把低速数字信号合并为
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:75776
    • 提供者:weixin_38702931
  1. EDA/PLD中的基于CPLD的异步串行收发器设计

  2. 摘要:介绍了基于CPLD的异步串行收发器的设计方案,着重叙述了用混合输入(包括原理图和VHDL)实现该设计的思想,阐述了在系统可编程(ISP)开发软件的应用方法与设计流程,并给出了VHDL源文件和仿真波形。 关键词:异步串行收发器;混合输入;在系统可编程;CPLD;ispLSI1016传统数字系统的设计主要基于标准逻辑器件并采用“Bottom-Up”(自底向上)的方法构成系统。这种“试凑法”设计无固定套路可寻,主要凭借设计者的经验。所设计的数字系统虽然不乏构思巧妙者,但往往要用很多标准器件
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:92160
    • 提供者:weixin_38652870
  1. EDA/PLD中的用CPLD实现DSP与PLX9054之间的连接

  2. 摘要:介绍了利用CPLD实现DSP芯片TMS320C6711b和PCI桥芯片PLX9054之间高速数据传输的系统设计方法,并给出了相应的系统设计原理图,同时对该系统的性能进行了分析。 关键词:PCI总线;TMS320C6711b;HPI(host port interface);局部总线;PLX9054CPLD是一种复杂的用户可编程逻辑器件。它以操作灵活,开发迅速,投资风险低,可多次编程擦写和在系统可编程(In System programmability)等特点而成为一种可优化硬件电路
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:90112
    • 提供者:weixin_38628920
  1. EDA/PLD中的数字频率合成器的FPGA实现

  2. 摘要:介绍了DDFS的原理和Altera公司的FPGA器件ACEX 1K的主要特点,给出了用ACEX 1K系列器件EP1K10TC144-1实现数字频率合成器的工作原理、设计思路、电路结构和仿真结果。 关键词:DDFS;FPGA;快速通道互连;仿真1 概述1971年,美国学者J.Tierncy,C.M.Rader和B.Gold提出了以全数字技术,从相位概念出发直接合成所需波形的一种新的频率合成方法。限于当时的技术和器件水平,它的性能指标尚不能与已有技术相比,故未受到重视。近30年间,随着集
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:93184
    • 提供者:weixin_38641876
  1. EDA/PLD中的Xilinx Foundation F3.1的结构及设计流程

  2. 摘要:介绍了Xilinx Foundation F3.1可编程器件开发工具软件的组成和功能,同时介绍了该软件工具中设计入口工具和设计实现工具的主要功能和使用特点。给出了一个用Xilinx Foundation F3.1 开发设计FPGA器件的流程图。 关键词:软件;工具;编程;开发设计Xilinx Foundation F3.1是Xilinx公司主要的可编程器件开发工具,它可用来开发Xilinx公司的Spar-tan Virtex XC3000 XC4000 XC5200 系列的F
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:69632
    • 提供者:weixin_38689551
  1. EDA/PLD中的基于生物学的电子电路设计

  2. 摘要:介绍了可进化硬件的机理和相关技术,着重阐述了一种基于进化论中遗传算法的大规模电子电路设计方法,分析了如何通过可进化硬件的机理来实现复杂系统的高容错性设计。介绍了进化电子电路设计的设计架构及基本设计步骤实现进化电子电路设计的设计环境。展望了基于可进化硬件思想的电子电路设计的发展前景。 关键词:可进化硬件 遗传算法 电子电路设计 现场可编程门阵列在人类的科学研究中,有不少研究成果得益于大自然的启发,例如仿生学技术。随着计算机技术和电子技术的发展,许多的科学研究越来越与生物学紧密相联。在
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:104448
    • 提供者:weixin_38581992
  1. EDA/PLD中的一种用CPLD实现视频信号运动检测的方法

  2. 摘要:介绍了一种采用CPLD外加SRAM存储芯片,对ITU601格式数字视频信号进行运动检测的方法。在此基础上,给出了一个实现这种检测方法的例子。在这个例子中,用Philips公司的视频处理芯片SAA7113的输出信号作为数字视频源,用Lattice公司的CPLD芯片LC4128V对视频信号进行运动检测。 关键词:运动检测 CPLD 数字视频信号在数字录像、数字监控等领域内,人们通常只对场景内存在的物体运动感兴趣。在这种情况下,需要对输入的视频信号进行预处理,识别场景中是否存在物体运动,也
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:100352
    • 提供者:weixin_38514526
  1. EDA/PLD中的使用Verilog实现基于FPGA的SDRAM控制器

  2. 摘 要:介绍了SDRAM的特点和工作原理,提出了一种基于FPGA的SDRAM控制器的设计方法,使用该方法实现的控制器可非常方便地对SDRAM进行控制。关键词:SDRAM;控制器;Verilog;状态机 引言---  在基于FPGA的图象采集显示系统中,常常需要用到大容量、高速度的存储器。而在各种随机存储器件中,SDRAM的价格低、体积小、速度快、容量大,是比较理想的器件。但SDRAM的控制逻辑比较复杂,对时序要求也十分严格,使用很不方便,这就要求有一个专门的控制器,使系统用户能
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:110592
    • 提供者:weixin_38727694
  1. EDA/PLD中的MPC850中复位逻辑和CPM协议的CPLD实现

  2. 摘要:介绍了用CPLD辅助设计在嵌入系统中进行曲MPU复杂逻辑功能设计的总体方案,给出了通过对XC95144中复用控制寄存器进行配置以实现MPU复位逻辑和CPM协议切换的实现方案和设计要点。     关键词:MPU CPM CPLD 复位逻辑性I/O口 MPC850 1 引言     近年来,微处理器(MPU)在嵌入式系统研发中所占地位越来越重要,很多应用场合对MPU的处理速度、集成密度也提出了更高的要求。Power PC系列MPU是Motorola公司推出的面向嵌入式应用的专用MPU,它
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:91136
    • 提供者:weixin_38728360
« 12 3 4 »