您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字电路课程设计四位串行乘法器

  2. 实验内容: 题目: 4位并行乘法器的电路设计与仿真 功能要求: 1. 实现4位串行乘法器的电路设计; 2. 带异步清零端; 3. 输出为8位; 4. 单个门延迟设为5 ns。 5. 设要有完整的组合逻辑电路设计步骤; 6. 每一步骤完成要正确合理; 7. 设计电路时分模块测试。 及实验结果都有在内
  3. 所属分类:嵌入式

    • 发布日期:2009-12-28
    • 文件大小:231424
    • 提供者:sejin0908
  1. 8位乘法器,用verilog语言编写

  2. 用verilog语言编写的8位乘法器,完成了8位二进制的整数乘法,供大家参考
  3. 所属分类:其它

    • 发布日期:2010-05-14
    • 文件大小:632832
    • 提供者:shuangman51
  1. 8位乘法器的毕业设计

  2. 本课题的设计来源是基于标准硬件描述语言(Very High Speed Integrated Circuit Hardware Descr iption Language,VHDL)及MAX + Plus II(Multiple Array Matrix Programmable Logic User System)软件开发工具的进行模拟仿真的8位乘法器,用于实现8位移位相加乘法器的乘法运算功能。
  3. 所属分类:嵌入式

    • 发布日期:2010-05-16
    • 文件大小:202752
    • 提供者:wuxiaodong1
  1. 移位相加8位硬件乘法器的 VHDL代码及实现

  2. 移位相加8位硬件乘法器的 VHDL代码及实现,内含完整代码波形文件等。
  3. 所属分类:其它

    • 发布日期:2010-05-30
    • 文件大小:235520
    • 提供者:jx7758158
  1. 实现EDA的8位乘法器,该电路的输入接收2个2位二进制数

  2. 可以实现2位二进制数乘法器,该电路的输入接收2个2位二进制数
  3. 所属分类:专业指导

    • 发布日期:2010-06-20
    • 文件大小:425984
    • 提供者:qiuqiuxy
  1. 用移位加法器实现8位乘法器(分模块)

  2. 通过控制模块、数据选择模块、加法器模块、移位模块、锁存模块和上层实体实现,有详细注释
  3. 所属分类:其它

    • 发布日期:2010-07-03
    • 文件大小:330752
    • 提供者:nwpu1686
  1. 移位硬件8位乘法器报告

  2. 学习利用EDA技术和FPGA实现移位硬件8位乘法器的设计。 内含FPGA代码和仿真数据。
  3. 所属分类:硬件开发

    • 发布日期:2010-10-27
    • 文件大小:98304
    • 提供者:feiniao200201
  1. 可编程逻辑之8位乘法器的设计实验报告

  2. 可编程逻辑之8位乘法器的设计实验报告 非常实用
  3. 所属分类:专业指导

    • 发布日期:2010-12-01
    • 文件大小:169984
    • 提供者:xiaoye2008
  1. VHDL实例8位加法器与乘法器设计

  2. VHDL实例8位加法器与乘法器设计
  3. 所属分类:硬件开发

    • 发布日期:2011-12-19
    • 文件大小:199680
    • 提供者:cooper1111
  1. VHDL 8位乘法器设计

  2. 完整的实验报告 由8位加法器构成的以时序逻辑方式设计的8位乘法器。其乘法原理是:乘法通过逐项位移相加原理来实现,以被乘数的最低位开始,若为1,则乘数左移后与上一次和相加,若为0,左移后以全零相加,直至被乘数的最高位。
  3. 所属分类:C/C++

    • 发布日期:2012-06-24
    • 文件大小:108544
    • 提供者:yy304856224
  1. 移位相加型8位乘法器

  2. 采用Verilog语言设计的移位相加型8位硬件乘法器小论文
  3. 所属分类:专业指导

    • 发布日期:2012-11-23
    • 文件大小:159744
    • 提供者:xiuwen911
  1. 4*4位阵列乘法器设计

  2. 4*4位阵列乘法器设计  用 CPLD 来设计一个 4 ×4 位乘法器,相对于画电路图输入,用 ABEL 语言描述是比较方便的。其算式如下(其中括号中的数字表示在 ABEL 源程序描述中的功能块调用编号): a3 a2 a1 a0 × b3 b2 b1 b0 ---------------------------------------------------------------------------------------------------------- a3b0(10) a2b
  3. 所属分类:专业指导

    • 发布日期:2013-03-03
    • 文件大小:379904
    • 提供者:nctm1990
  1. EDA程序实现8位乘法器

  2. EDA入门实例,文档中将所有程序贴在上面,还附有仿真图
  3. 所属分类:其它

    • 发布日期:2014-05-05
    • 文件大小:118784
    • 提供者:u013180269
  1. verilog CPU 源代码 之 8位乘法器

  2. verilog CPU 源代码 硬件实现 8位乘法器 , 高级算法,快速而小巧! 硬件更需要算法!
  3. 所属分类:其它

    • 发布日期:2008-10-24
    • 文件大小:2048
    • 提供者:dsigma
  1. fpga 8位乘法器 verilog HDL 源代码

  2. fpga 8位乘法器 verilog HDL 源代码;带有tstbench文件
  3. 所属分类:硬件开发

    • 发布日期:2015-08-25
    • 文件大小:4194304
    • 提供者:baidu_30845047
  1. 8位乘法器VHDL.rar

  2. 8位乘法器VHDL,适应FPGA初学者,相信对你会有帮助的
  3. 所属分类:硬件开发

    • 发布日期:2008-12-28
    • 文件大小:352256
    • 提供者:yorkfan019
  1. 自己编写的STM8S103-16*16乘法器

  2. STM8S103只能做8*8位乘法器。资源里用汇编做了16*16位乘法器。解决了在中断中做16*16位乘法溢出出错的问题
  3. 所属分类:C++

    • 发布日期:2018-06-13
    • 文件大小:3145728
    • 提供者:tigerkiller
  1. 8位乘法器的设计

  2. 设计并调试好一个8位乘法器,并用MAX+plus II实验开发系统进行系统仿真。这里的设计思路是由8位加法器构成的以时序逻辑方式设计的8位乘法器。
  3. 所属分类:嵌入式

  1. 基于booth算法的乘法器的verilog实现

  2. 8位Booth乘法器设计,8位乘8位的基2的booth乘法器的verilog实现。满足1)利用硬件描述语言描述8位数乘法器运算;2)输入为复位信号、乘法执行按键;3)时钟信号为开发板上时钟信号。
  3. 所属分类:硬件开发

    • 发布日期:2020-05-28
    • 文件大小:2048
    • 提供者:weixin_47989126
  1. 支持8 位定点操作的SIMD乘法器设计与实现

  2. 本文在 32×32 位乘法器基础上进行改进,实现了一种支持 8 位定点操作的 32 位 SIMD 乘法器。该乘法器是由四个16×16 位乘法器组合而成,并通过取不同的操作数并 将结果组合拼接从而实现 SIMD 功能。进一步通过采用基 4Booth 编码、华莱士树压缩等技 术提高运算速度,工作频率可达1.5Ghz
  3. 所属分类:其它

    • 发布日期:2021-03-12
    • 文件大小:393216
    • 提供者:weixin_38513665
« 12 3 4 5 6 7 8 9 10 »