您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字逻辑与数字系统设计习题答案王永军 李景华

  2. 第一章 数字逻辑基础 作业及参考答案 (2008.9.25) P43 1-11 已知逻辑函数 ,试用真值表、卡诺图和逻辑图表示该函数。 解:(1)真值表表示如下: 输 入 输出 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 (2)卡诺图表示如下: 00 01 11 10 0 0 1 0 1 1 1 1 1 1 由卡诺图可得 = (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出
  3. 所属分类:C

    • 发布日期:2010-03-29
    • 文件大小:5242880
    • 提供者:cheerup8
  1. 新IP段58.30.0.0 58.31.255.255

  2. 58.30.0.0 58.31.255.255 58.83.128.0 58.83.255.255 58.116.0.0 58.119.255.255 58.128.0.0 58.135.255.255 58.194.224.0 58.195.15.255 58.206.224.0 58.207.15.255 59.64.16.0 59.65.255.255 59.108.0.0 59.109.255.255 59.151.0.0 59.151.127.255 60.30.103.0 60.3
  3. 所属分类:Java

    • 发布日期:2010-06-23
    • 文件大小:48128
    • 提供者:why8806
  1. UG 6.0直接安装不要下别的东西

  2. 把(this_host)改成你的计算机名后,把以下放在记事本里保存命名nx6.安装完了把安装目录下的nx6替换了,就ok拉 SERVER this_host ID=20080618 28000 VENDOR ugslmd PACKAGE ADVDES ugslmd 24.0 1541F688A510 COMPONENTS="ADVDES_assemblies \ ADVDES_drafting ADVDES_dxf_to_ug ADVDES_dxfdwg \ ADVDES_features_m
  3. 所属分类:C

    • 发布日期:2010-07-28
    • 文件大小:126976
    • 提供者:wangshuaiwang
  1. 校准终测的基本原理说明

  2. 13.1 校准终测的基本原理 13.1.1校准 、终测的目的 现在生产的相同型号手机虽然使用都是相同器件,但这相同器件还是有的一定的偏差,由此组合的手机就必然存在着差异,但这差异是在一定的范围,超出了就视为手机不良。因此校准的目的就是将手机的这种差异调整在符合国标的范围,而终测是对于校准的检查,因为校准无法对手机的每个信道,每个功率级都进行调整,只能选择有代表性的(试验经验点)进行,所以校准通过的手机并不能肯定它是良品,只有通过终测检验合格的才算是,我们现在生产线上的校准终测测试程序都是将这两
  3. 所属分类:C

    • 发布日期:2010-08-25
    • 文件大小:505856
    • 提供者:wjw0528
  1. windows蓝屏错误代码

  2. windows蓝屏错误代码 1 0×00000001 不正确的函数。 2 0×00000002 系统找不到指定的档案。 3 0×00000003 系统找不到指定的路径。 4 0×00000004 系统无法开启档案。 5 0×00000005 拒绝存取。 6 0×00000006 无效的代码。 7 0×00000007 储存体控制区块已毁。 8 0×00000008 储存体空间不足,无法处理这个指令。 9 0×00000009 储存体控制区块地址无效。 10 0×0000000A 环境不正确。
  3. 所属分类:网络基础

    • 发布日期:2010-11-18
    • 文件大小:19456
    • 提供者:kurban157
  1. 数独解题VC++源程序

  2. 数独解题VC++源程序,原创的。 // ccc.cpp : Defines the entry point for the console application. // #include "stdafx.h" #include #include #include #include #include int main(int argc, char* argv[]) { int i,j,k,p,t,x,y,m,n,pn,tmpi,tmpj,na; int ia,ja,tt=1,no=0
  3. 所属分类:C++

    • 发布日期:2011-01-26
    • 文件大小:204800
    • 提供者:nzmsy
  1. 2011活跃IP段,扫鸡利器!

  2. 2011活跃IP段扫鸡利器!! 58.17.30.0 58.17.31.255 58.17.36.0 58.17.36.255 58.59.176.0 58.59.176.255 58.66.172.202 58.66.172.202 58.66.173.0 58.66.175.255 58.66.176.29 58.66.176.29 58.66.177.0 58.66.178.255 58.215.74.0 58.215.75.255 58.221.28.0 58.221.34.255 5
  3. 所属分类:网络攻防

    • 发布日期:2011-07-26
    • 文件大小:314368
    • 提供者:xiaowudiyi
  1. 我用vb做的即时战斗游戏

  2. "zfc1.bmp" 21 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 "map14a.bmp" "map14b.bmp" 64 16 0 1 1 1 1 1 1 0 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 40 40 0,0,0,0,0,0,0,0,0,0,0,0,0,0
  3. 所属分类:VB

    • 发布日期:2011-08-09
    • 文件大小:894976
    • 提供者:gaool
  1. 基于c#CP3平面网严密平差数据处理

  2. 基于c#CP3平面网严密平差数据处理 using System; using System.Collections.Generic; using System.Collections;//使用动态数组需要添加的语句 using System.ComponentModel; using System.Data; using System.Drawing; using System.Linq; using System.Text; using System.Windows.Forms; using
  3. 所属分类:C#

    • 发布日期:2013-04-19
    • 文件大小:920
    • 提供者:u010082879
  1. CISCO 技术大集合

  2. CISCO 技术大集合 {适合你们的技术} 二、命令状态 1. router> 路由器处于用户命令状态,这时用户可以看路由器的连接状态,访问其它网络和主机,但不能看到和更改路由器的设置内容。 2. router# 在router>提示符下键入enable,路由器进入特权命令状态router#,这时不但可以执行所有的用户命令,还可以看到和更改路由器的设置内容。 3. router(config)# 在router#提示符下键入configure terminal,出现提示符route
  3. 所属分类:网络基础

    • 发布日期:2013-05-22
    • 文件大小:276480
    • 提供者:u010610376
  1. Sim_EKB_Install_2014_07_28

  2. 2014 7 28 最新版本, 好处就不多说了 序号 短名称 长名称 许可证名称 版本 1 S7PROF55 SIFLS7PROF0505 STEP 7 V5.5 Professional v5.5 2 S7PROF54 SIFLS7PROF0504 STEP 7 V5.4 Professional v5.4 3 S7PROF53 SIFLS7PROF0503 STEP 7 V5.3 Professional v5.3 4 RTASS561 SIFLRTASSA0601 Asset RT un
  3. 所属分类:制造

    • 发布日期:2014-09-13
    • 文件大小:970752
    • 提供者:marki
  1. 中国最全SVG地图

  2. 例如: ...展开收缩
  3. 所属分类:网页制作

    • 发布日期:2015-07-24
    • 文件大小:834560
    • 提供者:u011060797
  1. jquery-1.9.1.min.js

  2. /*! jQuery v1.9.1 | (c) 2005, 2012 jQuery Foundation, Inc. | jquery.org/license //@ sourceMappingURL=jquery.min.map */(function(e,t){var n,r,i=typeof t,o=e.document,a=e.location,s=e.jQuery,u=e.$,l={},c=[],p="1.9.1",f=c.concat,d=c.push,h=c.slice,g=c.
  3. 所属分类:Javascript

    • 发布日期:2016-01-29
    • 文件大小:92160
    • 提供者:qq_33556185
  1. C语言编写的 俄罗斯方块

  2. struct diamond /*定义一个方块体结构体数组*/ { int DmdInfo[6][6]; int color; int next; }DmdSum[19]={ {{{0,0,0,0,0,0},{0,0,1,0,0,0},{0,0,1,0,0,0},{0,0,1,1,0,0},{0,0,0,0,0,0},{0,0,0,0,0,0}},10,1}, {{{0,0,0,0,0,0},{0,0,0,0,0,0},{0,0,1,1,1,0},{0,0,1,0,0,0},{0,0,0,0,
  3. 所属分类:C

    • 发布日期:2008-11-30
    • 文件大小:4096
    • 提供者:u013483368
  1. RAD Studio 10.2 Toyko Update2 10.2.2 KEYGEN 亲测可用

  2. RAD Studio 10.2 Toyko Update2 10.2.2 KEYGEN 一键激活,安装时选择试用,安装完毕后一键激活即可。亲测可用!! Win10 Build 16299 旗舰版 亲测可用!!! 为了便于在 Lite 14.x 中使用大侠们的特别补丁,初步写成这个:Activator.exe 他的主要作用: 1、整合 elseif、unis、x-force、cjack 大虾的 Keygen 相对原始部署方式,这个 Activator 实现“一键激活”! 使用方法: 1、执行 A
  3. 所属分类:Delphi

    • 发布日期:2018-01-02
    • 文件大小:96256
    • 提供者:renhaobin
  1. Scala语言实现Kmeans聚类算法(含有数据)

  2. 利用scala实现的k-means 包含数据集 0 1 22 9 181 5450 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 8 8 0.00 0.00 0.00 0.00 1.00 0.00 0.00 9 9 1.00 0.00 0.11 0.00 0.00 0.00 0.00 0.00 0 1 22 9 239 486 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 8 8 0.00 0.00 0.00 0.00 1.00 0.00 0.00 19 1
  3. 所属分类:其它

    • 发布日期:2018-06-21
    • 文件大小:32768
    • 提供者:qq_39188039
  1. hls.min.js

  2. !function(t,e){"object"==typeof exports&&"object"==typeof module?module.exports=e():"function"==typeof define&&define;.amd?define([],e):"object"==typeof exports?exports.Hls=e():t.Hls=e()}(this,function(){return function(t){function e(i){if(r[i])retu
  3. 所属分类:Javascript

  1. 华为EMUI8.0-8.2免APP手撕解华为ID账户锁教程

  2. 型 号 代 码 版 本 华为8.0以上版本解屏幕锁:手机关机不要插数据线按住音量上+开机键,恢复出厂,部分手机需要输入密码“yes”在点恢复。账户锁:华为查询版本--紧急拨号界面 输入*#*#0000#*#*--关于手机 查看版本 (以下版本均可按教程手撕,非以下版本需刷机到此版本) Mate8 NXT-AL10 8.0.0.835 Mate8 NXT-TL10 8.0.0.835 Mate8 NXT-CL10/DL00 8.0.0.835 Mate9 MHA-AL00 8.0.0.363 M
  3. 所属分类:其它

    • 发布日期:2019-08-14
    • 文件大小:67
    • 提供者:phoenix191
  1. HCIA-SDN_V1.0实验手册.pdf

  2. HCIA-SDN_V1.0实验手册,培训对象为网络操作维护工程师HUAWEI Cloud Fabric dCN Underlay网络部署实验手册(云网一体化方案) 第1页 目录 1SDN实验环境准备 1.1关于实验环境 1.2设备版本参数信息… 2223 13设备登录信息 14IP地址规划信息 2 VXLAM实验指导 ■■日■日■D■日■口■■夏口■■■■■■DDD■口B■■D■D.■■量■.■■D■■■■口■DD■■■DB■D.D■■D■ 2.1 VXLAN静态集中式网关配置 2.1.1实验目
  3. 所属分类:华为认证

    • 发布日期:2019-10-14
    • 文件大小:1048576
    • 提供者:weixin_38467835
  1. 有些零件的标注应该更明确更合理,否则卖不掉.-顺络SWPA电感参数手册.pdf

  2. 有些零件的标注应该更明确更合理,否则卖不掉.-顺络SWPA电感参数手册.pdfSWPA252010S Series Self-resonant Inductance DC Resistance Heat Rating Saturation Current Part Number Fre Current 100kHz,們 Max. Typ Min Max. Typ.Max. Typ. Units H Q MHZ A Symbol DCR SRF Isat Irms SWPA252010SR47NT
  3. 所属分类:其它

    • 发布日期:2019-09-03
    • 文件大小:1048576
    • 提供者:weixin_38743481
« 12 3 4 5 6 7 8 9 10 ... 50 »