您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog HDL程序设计与实践--云创工作室编著

  2. 有点大,分为两部分(上和下),还有一个超星阅读器 第1章 EDA设计与Verilog HDL语言概述   1.1 EDA设计概述   1.1.1 EDA技术简介   1.1.2 EDA与传统电子系统设计方法   1.1.3 可编程逻辑器件对EDA技术的要求   1.2 Verilog HDL语言简介   1.2.1 硬件描述语言说明   1.2.2 Verilog HDL语言的历史   1.2.3 Verilog HDL语言的能力   1.2.4 Verilog HDL和VHDL语言的比较  
  3. 所属分类:嵌入式

    • 发布日期:2009-08-04
    • 文件大小:14680064
    • 提供者:kygreen
  1. GW-48 eda工具使用说明

  2. 学生应具有较扎实的数字电路基础知识及较强的计算机应用能力。在此基础上,相应的 理论课程重点介绍 FPGA/CPLD器件的基本原理、结构、应用,VHDL 硬件描述语言的语言 现象和语法结构,在 Quartus II 集成开发环境上应用 FPGA/CPLD器件进行电子系统设计全 流程。实验课首先是熟悉使用 Quartus II 集成开发环境和实验开发装置,在此基础上通过由 易到难,由浅入深的几个电子系统设计的实例进行用原理图输入方式,文本输入方式输入、 代码编译、逻辑综合、结构综合、系统仿真、器件
  3. 所属分类:嵌入式

    • 发布日期:2009-08-06
    • 文件大小:254976
    • 提供者:lambooth
  1. EDA 技术实用教程

  2. 目 录 第1 章 概述.......................................................................................................................... 1 1.1 EDA 技术及其发展................................................................................................ 1
  3. 所属分类:硬件开发

    • 发布日期:2009-08-24
    • 文件大小:6291456
    • 提供者:sfhgky
  1. FPGA/VHDL快速工程实践入门与提高

  2. FPGA/VHDL技术是近年来计算机与电子技术领域的又一场革命。本书以Altera公司的FPGA/CPLD为主详细介绍了FPGA的相关知识、MAX+PLUS Ⅱ开发环境和VHDL语言基础,并以交通灯逻辑控制、电子钟与LED显示、LCD液晶显示及计算机ISA接口和PCI接口的设计等为例,由浅入深地详述了如何应用FPGA/VHDL进行电子设计。书中的大多数电路图和源程序已经过实例验证,读者可以直接应用于自己的设计。本书的特点是强调实用性和先进性,力求通俗易懂。 本书适合于计算机、电子、控制及信息等
  3. 所属分类:硬件开发

    • 发布日期:2010-03-10
    • 文件大小:3145728
    • 提供者:dljaye
  1. 王金明:《Verilog HDL程序设计教程》实例

  2. 找了好久才得到的王金明:《Verilog HDL程序设计教程》实例,是CPLD/FPGA初学者的邦手啊
  3. 所属分类:硬件开发

    • 发布日期:2010-04-22
    • 文件大小:20480
    • 提供者:ovthj
  1. EDA—EDA技术实用教程(pdf影印)

  2. 学习VHDL和FPGA的经典资料 第 1 章 概述 1.1 EDA 技术及其发展 1.2 EDA 技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2
  3. 所属分类:硬件开发

    • 发布日期:2010-06-07
    • 文件大小:8388608
    • 提供者:zt839486421
  1. 用VHDL 自顶向下设计数字密码锁

  2. 摘 要: VHDL 非常适用于可编程逻辑器件的应用设计。尤其在大容量CPLD 和FPGA 的应用设计中,若采用以往的布尔方程或门级描述方式,很难快速有效地完成。VHDL 能提供高级语言结构,方便地描述大型电路,快速地完成设计。它支持设计单元库的创建,以存储设计中重复使用的元件。它是一种标准语言,它的设计描述可被不同的工具所支持,可用不同器件来实现。文中以数字密码锁的设计为实例,从方案的确定,各阶层的划分,VHDL的应用,介绍了VHDL 自顶向下的设计方法。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-15
    • 文件大小:207872
    • 提供者:levid3112
  1. VHDL实用教程,硬件描述语言

  2. 第1 章绪 论 ....................................................................................................................1 § 1.1 关于EDA...............................................................................................................1
  3. 所属分类:硬件开发

    • 发布日期:2010-07-14
    • 文件大小:4194304
    • 提供者:angus36
  1. CPLD技术及其应用

  2. CPLD是最新型的可编程逻辑器件,几乎可适用于所有的门阵列和各种规模的数字集成电路,它的诸多特点使其特别适合于产品的样品开发与小批量生产。本书正是以全球最大的可编程逻辑器件供应商——Altera公司的MAX+PLUS Ⅱ为工具,详尽地剖析了其FLEX 10K等系列的结构、功能及开发应用。在基础篇中,通过一个完整的实例介绍,以使读者能够尽快了解MAX+PLUS Ⅱ的软件安装、设计输入、项目编译、优化以及硬件编程在线调试等功能,并且能够开发出相对简单的产品。在提高篇中,对电子电路设计过程中出现的许
  3. 所属分类:硬件开发

    • 发布日期:2010-08-12
    • 文件大小:13631488
    • 提供者:Benjamin_Wang
  1. EDA—EDA技术实用教程

  2. 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2 ASIC 及其设计流程 2.2.1 ASIC 设计方法 2.2.2 一般ASIC 设计的流程 2.3 常用EDA 工具 2.3.1 设计输入编辑器 2.3.2
  3. 所属分类:硬件开发

    • 发布日期:2010-11-19
    • 文件大小:8388608
    • 提供者:sundyqt
  1. 基于Altera FPGA CPLD的电子系统设计及工程实践

  2. 本书以Altera公司的FPGA/CPLD芯片为主,详细介绍了Altera FPGA/CPLD特点、Altera Quartus II 8.1软件开发环境和VHDL语言,并以FPGA常用设计模块、电子设计大赛应用、机器人控制及单片机接口、视频控制接口等设计为例,由浅入深地详述了如何应用FPGA/CPLD进行电子设计,同时介绍了基于FPGA的SoPC系统设计和FPGA在DSP中的系统设计与调试。书中的源程序已经过实例验证,读者可以直接应用于自己的设计。
  3. 所属分类:电信

    • 发布日期:2011-05-06
    • 文件大小:9437184
    • 提供者:a623138164
  1. VHDL 应用教程

  2. 学习VHDL的入门书籍 目录 第1 章绪 论 ....................................................................................................................1 § 1.1 关于EDA.................................................................................................
  3. 所属分类:专业指导

    • 发布日期:2013-02-25
    • 文件大小:4194304
    • 提供者:trondai
  1. 我们学校的FPGA的课件

  2. 师资培训FPGA授课提纲 一、 概述(1天) 1. 设计成果展示(引题) 2. FPGA/CPLD开发的优势 3. 三大逻辑厂商主要器件、开发平台 4. 5种硬件描述语言 5. SOPC设计概述 二、 开发步骤(1天) 1. 软件设计 a环境建立设置 b文本设计流程 c原理图设计流程 2. 硬件设计 a利用实验室平台 b自制设备 3.测井信号分离卡开发实例 三、 VHDL语言(10天) 1. 基本结构 2. 实体设计注意点 3. VHDL语言的对象和数据类型 4. VHDL语言中的顺序语句 5
  3. 所属分类:硬件开发

    • 发布日期:2009-04-06
    • 文件大小:13631488
    • 提供者:gazer_yuol
  1. VHDL语言在FPGA/CPLD开发中的应用

  2. 通过设计实例详细介绍了用VHDL(VHSICHardwareDescr iptionLanguage)语言开发FPGA/CPLD的方法,以及与电路图输入和其它HDL语言相比,使用VHDL语言的优越性。关键词:VHDL,FPGA/CPLD,EDA
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:122880
    • 提供者:weixin_38625448