您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Delphi2009连接Firebird2.5使用Data Access面板的组件

  2. 本代码在:WinXp Pack 3 + Delphi2009 updata1 火鸟Firebird2.5.0.23247下测试通过。 使用了Data Access面板的组件用SQL进行操作 内含:火鸟Firebird数据库的中文参考资料 注意:发布的时候要带上dbxdrivers.ini和dbxconnections.ini两个配制文件, 这两个文件一般在C:\Documents and Settings\All Users\Documents\RAD Studio\dbExpress文件夹中
  3. 所属分类:Delphi

    • 发布日期:2009-05-13
    • 文件大小:2097152
    • 提供者:i8013
  1. LCA RMQ 最小公共祖先 区间最小值

  2. 原文来自于http://www.topcoder.com/tc?module=Static&d1=tutorials&d2=lowestCommonAncestor。 翻译成中文。 LCA RMQ
  3. 所属分类:C/C++

    • 发布日期:2010-08-07
    • 文件大小:299008
    • 提供者:caianye
  1. PCI中文协议,PCI中文资源

  2. PCI中文协议http://www.baidu.com/s?bs=pci%D0%AD%D2%E9&f=8&wd=pci+%B5%C4%BD%F0%CA%D6%D6%B8%B6%A8%D2%E5
  3. 所属分类:硬件开发

    • 发布日期:2011-04-13
    • 文件大小:6291456
    • 提供者:xiaoyu211115
  1. 精易模块 V2.57.ec

  2. 很强大的易语言操作模板!!! 模块名称:精易模块 V 2.57 作者:果子 版本:2.5 精易论坛 http://www.3600gz.cn @备注: ┏━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━┓ ┃模块开发:果子 【QQ技术群】http://www.3600gz.cn/ltbg/xrwt_qq.html┃ ┣━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━┫ ┃模块说明:仅采用易核心支持库+API完成   授权:永久免费   ┃ ┣━━━━━━━━
  3. 所属分类:其它

    • 发布日期:2011-08-03
    • 文件大小:1048576
    • 提供者:jiemijiang
  1. 使用fengoffice中文语言出现missing lang.js 的解决方法

  2. 使用fengoffice中文语言出现missing lang.js 的解决方法 不想下载的可以看http://hi.baidu.com/%D2%BB%CF%C2/blog/item/9e59798b11f4a409c9fc7a23.html
  3. 所属分类:PHP

    • 发布日期:2011-08-31
    • 文件大小:1048576
    • 提供者:SOFT2030
  1. 输出端子.doc

  2. 输出端子 VGA输出:VGA 接口采用非对称分布的15pin 连接方式。   其工作原理:   是将显存内以数字格式存储的图像( 帧) 信号在RAMDAC 里经过模拟调制成模拟高频信号,然后再输出到投影机成像,这样VGA信号在输入端( 投影机内) ,就不必像其它视频信号那样还要经过矩阵解码电路的换算。从前面的视频成像原理可知VGA的视频传输过程是最短的,所以VGA 接口拥有许多的优点,如无串扰无电路合成分离损耗等。有些投影机可以通过先由VGA接口先将计算机信号输入,然后再由VGA接口输出到显示
  3. 所属分类:教育

    • 发布日期:2012-10-13
    • 文件大小:39936
    • 提供者:c15918723902
  1. SkyIAR_v0.5_Dev

  2. [2012.5.11] v0.5 开发版发布 1、[修正] 重编译IAR驱动内核程序,改进执行效率 2、[修正] 去除Config.ini中关于自动运行和其他选项的固化配置,改为命令行配置 3、[修正] 命令行参数修正为: (1)/Auto,启用自动化运行模式 (2)/TimeOut=[时间(秒)],配置自动化模式时等待时间,3~10秒有效,如/TimeOut=6 [无/Auto时无效] (3)/Reboot,自动化模式运行结束后自动重启计算机 [无/Auto时无效] (4)/WinDir="
  3. 所属分类:其它

    • 发布日期:2013-06-27
    • 文件大小:15728640
    • 提供者:hongyi87
  1. bt5使用方法

  2. bt5中文使用教程 第一章. 文档管理 ......................................................................................................................... 8 第二章. BackTrack 介绍 .......................................................................................
  3. 所属分类:网络基础

    • 发布日期:2013-08-07
    • 文件大小:15728640
    • 提供者:u011630388
  1. stm32中文参考手册

  2. 目录 stm32f10xxx参考手册 目录 1 文中的缩写 24 1 1 寄存器描述表中使用的缩写列表 24 1 2 术语表 24 1 3 可用的外设 24 2 存储器和总线构架 25 2 1 系统构架 25 2 2 存储器组织 27 2 3 存储器映像 28 2 3 1 嵌入式sram 29 2 3 2 位段 29 2 3 3 嵌入式闪存 30 2 4 启动配置 33 3 crc计算单元 crc 34 3 1 crc简介 34 3 2 crc主要特性 34 3 3 crc功能描述 34 3
  3. 所属分类:C

    • 发布日期:2014-06-08
    • 文件大小:16777216
    • 提供者:lhf0921
  1. java DES,DESede,Blowfish 加密 和 MD5 加密 方法操作源码

  2. 该文件汇总了标题所述的加密方法的操作源码,并考虑到加密数据的文件存放问题,避免了byte[]转string类型时的数据失真的bug;下面是演示部分代码和演示结果: public static void main(String[] args) { try {//过程演示,普通的加解密操作 Eryptogram etg = new Eryptogram(); etg.debug = true; byte[] key = etg.getSecretKey(); String aa = "012345
  3. 所属分类:C

    • 发布日期:2008-12-15
    • 文件大小:2048
    • 提供者:yczhaoquandong
  1. 上银D2驱动器,中文手册

  2. 上银D2驱动器中文版手册,详解。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。
  3. 所属分类:制造

    • 发布日期:2018-04-13
    • 文件大小:13631488
    • 提供者:suzechun
  1. noip提高组试题

  2. NOIP2011)复赛 提高组 day2 1 页 共 4 页 全国信息学奥林匹克联赛(NOIP2011)复赛 提高组 day2 (请选手务必仔细阅读本页内容) 一.题目概况 中文题目名称 计算系数 聪明的质监员 观光公交 英文题目与子目录名 factor qc bus 可执行文件名 factor qc bus 输入文件名 factor.in qc.in bus.in 输出文件名 factor.out qc.out bus.out 每个测试点时限 1 秒 1 秒 1 秒 测试点数目 10 20
  3. 所属分类:其它

    • 发布日期:2018-07-12
    • 文件大小:2097152
    • 提供者:sinat_27140523
  1. IEEE Std 802.16-2009 Part 16

  2. 此文档为国际标准:IEEE Std 802.16-2009 Standard for Local and metropolitan area networks-Part 16 Air Interface for Broadband Wireless Access Systems,文档共2082页,也称P80216m-D2。中文译名:IEEE 802.16-2009 局域和城域网络标准.第16部分:固定和移动宽带无线接入系统的空中接口。
  3. 所属分类:网络设备

    • 发布日期:2020-03-22
    • 文件大小:7340032
    • 提供者:myhearty
  1. ABB通用机械传动ACS350技术样本.pdf

  2. ABB通用机械传动ACS350技术样本pdf,ABB通用机械传动ACS350技术样本目录 ABB通用机械传动,ACS350 ABB通用机械传动…… 4 特 4 技术规格 ACS350|P66 额定值,型号,电压及结构 型号代码… 7 电压 234 结构. 尺 …本… 8 柜式安装传动… 8 5 壁挂式安装传动 .8 可选件… 面B面音音 9 如何选择可选件 6 用户接口 …10 机器接口 扩展模块 1 保护和安装 11 Drive Window Light2….....…,12 F| ash D
  3. 所属分类:其它

    • 发布日期:2019-10-19
    • 文件大小:865280
    • 提供者:weixin_38744207
  1. NAIS PLC中文手册第三章高级指令(8).pdf

  2. NAIS PLC中文手册第三章高级指令(8)pdf,NAIS PLC中文手册第三章高级指令(8)F142 WDT) P142(PDT/看门狗定时器刷新 适用机型 FP2SH/FPlOSH 概述刷新看门狗定时器的超时时间。 程序示例 榜形图程序 布尔形式 地址 指令 触发器 10 ST R F142 WDT RO 0|}[F142WDT,K128 128 指定看门狗定时器数值的常数 操作数 操作数 继电器 定时器/计数器数据寄存器索引寄存器 索引变址 WXWYWRWL EV DT LD FL IY
  3. 所属分类:其它

    • 发布日期:2019-10-15
    • 文件大小:719872
    • 提供者:weixin_38744375
  1. 模电 数电 单片机笔试及面试问题.pdf

  2. 该文档包括数电、模电、单片机、计算机原理等笔试问题,还讲解了关于面试的问题该如何解答,对大家有一定的帮助电流放大就是只考虑输岀电流于输入电流的关系。比如说,对于一个uA级的信号,就需要放大后才能驱动 一些仪器进行识别(如生物电子),就需要做电流放大 功率放大就是考虑输出功率和输入功率的关系。 其实实际上,对于任何以上放大,最后电路中都还是有电压,电流,功率放大的指标在,叫什么放大,只 是重点突出电路的作用而已。 15.推挽结构的实质是什么? 般是指两个三极管分别受两互补信号的控制,总是在一个三极
  3. 所属分类:嵌入式

    • 发布日期:2019-10-12
    • 文件大小:664576
    • 提供者:fromnewword
  1. 西门子SINAMICS V10变频器产品样本.pdf

  2. 西门子SINAMICS V10变频器产品样本pdf,西门子SINAMICS V10变频器产品样本:本文主要介绍了SINAMICS V10变频器产品样本,包含概述,优点,应用,相关标准,设计和功能。SINAMICS V10变频器 简介 概述 优点 应用 相关标准 设计 功能 4 技术数据 技术参数 选型和订货数据 6 外形安装尺寸 电路图 ERTIFICATE 各种附属选件 环境管理体系认证证书 西门子数拉南京》着限公司 操作面板柜门安装组件 质量警理体系认证证 穿墙式安装组件 9 进线电抗器和输
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:1048576
    • 提供者:weixin_38743737
  1. 丹佛斯 FC102系列暖通空调解决方案.pdf

  2. 丹佛斯 FC102系列暖通空调解决方案pdf,暖通空调解决方案 针对暖通空调行业的应用特点,提供FC102系列产品的技术数据。暖通空调系统 暖通空调行业中水泵、风机及压缩机为必需的 设备,而且耗电量巨大,在全年使月空调的现代化 宾馆及办公大楼中,风机、水泵及压缩机的用电量 占整个建筑用电量的30%·40%。如何将电死降卜 来,提高经济效益,越来越为相关负责人所重视。 在暖通空调系统中使用变频器,一方面可以极 大地节省水泵、风机及压缩机的电能,实现系统的 节能运行;另一方而可以提高系统的运行品质,
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:1048576
    • 提供者:weixin_38744270
  1. 高效数控开关电源——中文.pdf

  2. 高效数控开关电源——中文pdf,三、软开关技术介绍 开关器件在开或关时都不是瞬时完成的。如果让开关管在每次的 开或关之前使电压或电流为零,这样在过渡时期的损耗P=U*O=0或 P=0*I=0,这就是软开关的基本含义。根据开关过程的电压或电流为零 分别称为零电压开关(ZVS)或零电流开关(ZCS,在全桥开关电源中若 是有一个桥臂为零电压开关而另一桥臂为零电流开关的就称为零电 压零电流开关 ZVZCS) 四、数控开关电源的设计方案 对于本开关电源的控制,选用MC51单片机作为控制芯片 89℃51。按
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:1048576
    • 提供者:weixin_38744270
  1. PDRIVER变频器中文说明书

  2. 进口PDRIVER变频器器中文说明书,用于PDRIVER的安装设置及技术参数检查电源连接 电源线一融断器一距离 ◆电源线必须连接至端子L1/L2/3。(通常在左侧) 按“安装说明书”的表格检査电源融断器的容量 ◆如果电机火线〔端子U灬W)带控制元件(触点或检査开关),则在数字输入“脉冲 启动”端应有(预接的)铺助接点。 ◆检查电机电缆长度是否符合允许的极限,是否(必要时)应实装AMF(输出电机滤波 器)。 2 检查电磁兼容性措施 射频干扰滤波器一接地一屏蔽 ◆电源是否装有适应木应用所需的滤波器?
  3. 所属分类:其它

    • 发布日期:2019-03-04
    • 文件大小:2097152
    • 提供者:weixin_44723684
« 12 »