点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - EDA设计时钟
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
基于EDA技术的多功能数字时钟的ASIC设计
采用EDA技术设计了一个在FPGA芯片上实现的数字时钟,它可以显示年、月、日、时、分、秒、星期,并且可以设定闹钟和整点报时.数字时钟的输入设备是一个4×4的编码键盘,输出设备是用于显示的15个七段数码管、若干LED指示灯及蜂鸣器,数字时钟的内部功能模块可以分为三个部分:综合计时电路、显示控制电路、调整控制电路.其中综合计时电路用于完成各种计时功能,显示控制电路用于完成计时结果的显示,调整控制电路用于调整计时系统的有关参数.
所属分类:
硬件开发
发布日期:2009-05-30
文件大小:268288
提供者:
armxing
基于eda数字时钟设计
基于EDA的数字时钟设计,很好的源代码,已经经过调试了,可以直接运行。
所属分类:
专业指导
发布日期:2009-06-14
文件大小:181248
提供者:
qxqx88
简单数字时钟 EDA设计
实现简单的数字时钟 有时序图之类~~并带有总结,是一个很好的资源
所属分类:
专业指导
发布日期:2009-06-21
文件大小:266240
提供者:
tianxiang123
基于quarters的eda设计
自己做的,基于quarters的eda时钟设计
所属分类:
专业指导
发布日期:2009-09-21
文件大小:523264
提供者:
idolhuting
eda数字时钟设计
这是一个时钟设计说明书
所属分类:
专业指导
发布日期:2007-11-16
文件大小:412672
提供者:
madaboutjl
12/24小时数字时钟设计
基于VHDL语言的12、24小时数字时钟设计,完整的程序,下载即可用。
所属分类:
专业指导
发布日期:2010-03-10
文件大小:2097152
提供者:
baiyun19880902
EDA—EDA技术实用教程(pdf影印)
学习VHDL和FPGA的经典资料 第 1 章 概述 1.1 EDA 技术及其发展 1.2 EDA 技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2
所属分类:
硬件开发
发布日期:2010-06-07
文件大小:8388608
提供者:
zt839486421
基于eda 的电子时钟设计
采用AHDL语言编写的电子时钟具有校分,校时,清零的功能,还具有整点报时,以及彩灯等功能
所属分类:
专业指导
发布日期:2010-09-17
文件大小:260096
提供者:
liuanty
EDA—EDA技术实用教程
综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2 ASIC 及其设计流程 2.2.1 ASIC 设计方法 2.2.2 一般ASIC 设计的流程 2.3 常用EDA 工具 2.3.1 设计输入编辑器 2.3.2
所属分类:
硬件开发
发布日期:2010-11-19
文件大小:8388608
提供者:
sundyqt
EDA 电子时钟的设计( VHDL语言)
EDA 方式设计的时钟 精确到毫秒 可以显示 小时 分钟 秒 毫秒 quartus 9.0通过 DE_two开发板 可进行时钟的校对
所属分类:
C/C++
发布日期:2010-12-07
文件大小:736256
提供者:
loveshare
EDA电子时钟 VHDL
VHDL语言设计的一电子钟表,在7段数码管走时 SW控制运行还是停止;KEY3调整小时,KEY2调整分钟,KEY1调整秒
所属分类:
专业指导
发布日期:2010-12-08
文件大小:525312
提供者:
spch2008
EDA数字时钟设计报告
完整的EDA数字时钟设计,包括时间显示,万年历显示,星期显示,并且可以调整时间和年月日。提供了各个模块的电路图,内容详尽!
所属分类:
专业指导
发布日期:2011-02-26
文件大小:329728
提供者:
dearothyvan
EDA课程设计 数字时钟
EDA课程设计 课题1:数字钟设计 设计要求: 1. 具有时、分、秒,计数及数码管显示功能,以 24 小时循环计时。 2. 具有清零,调节小时、分钟功能
所属分类:
网络管理
发布日期:2011-03-15
文件大小:732160
提供者:
xiaoyaohan21
EDA设计中时钟的可靠性
摘要:在cPLD/FPG^芯片编程设计时,通常需要用时钟来控制系统中各模块协调工作,如果时钟设计不当,在极限温度、 电压或制造工艺偏差的情况下将导致错误的行为,并且调试困难。本文就此对全局时钟、门控时钟、多级逻辑时钟和波动式时 钟进行分析探讨,以求在设计电路中消除毛刺,提高电路系统的稳定性。
所属分类:
电信
发布日期:2011-03-22
文件大小:135168
提供者:
lxpcx
数字时钟delphi EDA 设计程序
比较好的模块程序。关注一下数字时钟的设计 可免费提供
所属分类:
专业指导
发布日期:2011-05-22
文件大小:57344
提供者:
xinmulin
eda数字时钟的设计
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
所属分类:
其它
发布日期:2011-06-04
文件大小:232448
提供者:
yangkaibvv1
电子时钟设计
EDA电子时钟设计程序,有空可以下载看看,有帮助的
所属分类:
嵌入式
发布日期:2011-11-27
文件大小:53248
提供者:
ghylanse
EDA数字时钟设计报告
用Quartus软件设计基本数字时钟和Verilog HDL设计扩充功能的全过程
所属分类:
嵌入式
发布日期:2012-06-12
文件大小:1048576
提供者:
jiangziya123
EDA电子时钟设计
这是基于EDA的电子时钟开发设计 计数部分:由两个60进制计数器和一个24 进制计数器组成,其中60 进制计数器可用6 进制计数器和10 进制计数器构成;24 进制的小时计数同样可用6 进制计数器和10 进制计数器得到:当计数器计数到24 时,“2”和“4”同时进行清零,则可实现24 进制计数。
所属分类:
其它
发布日期:2012-12-16
文件大小:868352
提供者:
dyunsi123
VHDL数字时钟 EDA 设计 电子钟
VHDL 数字时钟源代码 具有较时功能,带秒表 分频,电子自动化设计练习
所属分类:
专业指导
发布日期:2008-11-24
文件大小:4096
提供者:
eric43
«
1
2
3
4
5
6
7
8
9
10
...
17
»