您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VxWorks实时操作系统下END的实现.pdf

  2. VxWorks实时操作系统下END的实现.pdf
  3. 所属分类:网络基础

    • 发布日期:2009-05-08
    • 文件大小:892928
    • 提供者:xiaosuo_ge
  1. GPRS驱动程序(真的很好)

  2. //------------------------------------- main ---------------------------------------------------------------- int main(void) { int fd; showversion(); printf("\nGSM/GPRS TESTS\n\n"); // open seriel port fd = open(DEVICE_TTYS, O_RDWR); if (fd == -1) {
  3. 所属分类:其它

    • 发布日期:2010-03-23
    • 文件大小:3072
    • 提供者:tangjiaershao
  1. VxWorks系统CS网卡END驱动(源码)

  2. VxWorks系统CS网卡END驱动(源码).zip
  3. 所属分类:其它

    • 发布日期:2008-04-12
    • 文件大小:45056
    • 提供者:leejey
  1. vxworks_END驱动编写概述.pdf

  2. 增强型网络驱动(END)是 SOI 模型中数据链路层的实现,它通过 MUX函数和网络服务通信。
  3. 所属分类:C

    • 发布日期:2011-06-17
    • 文件大小:158720
    • 提供者:yyywill
  1. FPGA驱动VGA接口的VHDL语言实现

  2. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vgacore is Port ( clk : in std_logic; reset : in std_logic; md : in std_logic_vector(1 downto 0); hs : out std_logic; vs : out std_logic
  3. 所属分类:其它

    • 发布日期:2012-04-29
    • 文件大小:8192
    • 提供者:zongfabao
  1. freemarker驱动包

  2. FreeMarker is a "template engine"; a generic tool to generate text output (anything from HTML to autogenerated source code) based on templates. It's a Java package,http://lampstop10.com,a class library for Java programmers. It's not an application f
  3. 所属分类:Java

  1. 摩托罗拉手机驱动

  2. 摩托罗拉手机驱动
  3. 所属分类:Android

    • 发布日期:2012-08-02
    • 文件大小:1048576
    • 提供者:jerryyuan100
  1. vxworks_END驱动编写介绍

  2. 在vxworks操作系统下,对END驱动编程进行讲解,详细介绍了END编程中所使用到的各个函数的使用方法及含义
  3. 所属分类:其它

    • 发布日期:2013-03-04
    • 文件大小:158720
    • 提供者:hs6662668
  1. C8051F380+SI504最新驱动

  2. C8051F380+SI504最新驱动 #ifndef C1_HEADER #define C1_HEADER #define C1_SUCCESS 0 int c1_WriteData(unsigned char data8); int c1_SendSetupReset(void); /*The following definitions are processor specific and should be changed to match your platform*/ #defin
  3. 所属分类:硬件开发

    • 发布日期:2013-07-03
    • 文件大小:21504
    • 提供者:lololoololol
  1. 智能驱动删除询问工具

  2. 谁用谁知道,不明白的漂过 功能: 1、精确搜寻已写入注册表的驱动路径父目录,自动判断所搜寻到的目录是不是系统目录 2、自动判断最顶层目录(为了清理驱动目录后不留下空目录) 3、第一次进桌面调用后自动延时,等待(1分钟)设备管理器的出现与结束,弹出界面后无论如何操作,结束后都会删除自身 3、当注册表中搜寻不到驱动目录(或目录不存在)则自动搜寻固定目录 C:\DRIVER(或DRIVERS) 与 C:\WINDOWS\DRIVER(或DRIVERS) 4、倒计时中可按 Alt + End 终止倒计
  3. 所属分类:桌面系统

    • 发布日期:2013-09-07
    • 文件大小:806912
    • 提供者:u012017536
  1. 黑苹果优化神器,驱动打包

  2. > HD3000 Low Resolution:笔记本核显,最低分辨率,1366x768 > HD3000 High Resolution:笔记本核显,最高分辨率,1600x900+ > HD4000 Desktop:台式电脑核显,IntelCapriFB=10 > HD4000 Low Resolution:笔记本核显,最低分辨率,1366x768,IntelCapriFB=3 > HD4000 High Resolution:笔记本核显,最高分辨率,1600x
  3. 所属分类:MacOS

    • 发布日期:2014-02-24
    • 文件大小:20971520
    • 提供者:katatacorp
  1. 基于VxWorks的网卡驱动程序开发(20150610114813).pdf

  2. vxworks end 移植编写流程,有兴趣的话可以过来看看
  3. 所属分类:C

    • 发布日期:2015-06-10
    • 文件大小:1048576
    • 提供者:u010771067
  1. vxWorks下 END网口驱动程序

  2. vxWorks下 END网口驱动程序是设备驱动程序中较为复杂的一类 ,论文结合源代码首先从内核层次结构上分析 END网口驱动程序的架构 ,着重分析了作为中间层的 MUX接口层与其上层网络层和下层 网口驱动程序之间的关系 ,之后解释了 END网口驱动程序初始化过程 ,最后给出程序设计中需要注意的一些事项。
  3. 所属分类:网络基础

    • 发布日期:2009-03-20
    • 文件大小:590848
    • 提供者:lizihgl
  1. 技嘉H61M-DS2, V2.0主板bios驱动

  2. 技嘉H61M-DS2主板, VER2.0最新的bios驱动。解压到u盘,启动的时候,按END进入Q-FLASH. 选第一个,要用到的是H开头的文件。
  3. 所属分类:硬件开发

    • 发布日期:2020-05-20
    • 文件大小:2097152
    • 提供者:weixin_43420701
  1. 步进电机驱动芯片源代码-基于Xilinx公司CPLD实现-实际产品的完整工程

  2. 步进电机驱动芯片源代码-基于Xilinx公司CPLD实现-实际产品的完整工程 //Toppest module module Stepper(EN_A, POL_A, DCLK_A, QCLR_A, EN_B, POL_B, DCLK_B, QCLR_B, CHR_A_B, DEAD_CLK, CHOP_CLK, LH_A, LL_A, RH_A, RL_A, LH_B, LL_B
  3. 所属分类:硬件开发

    • 发布日期:2020-03-26
    • 文件大小:1048576
    • 提供者:wkprogramer
  1. 向人类学习如何抓取:数据驱动的架构 拟人软手自主抓握

  2. 软手是将顺应性元素嵌入其机械设计中的机器人系统。这样可以有效地适应物品和环境,并最终提高其抓握性能。如果与经典的刚性手相比,这些手在人性化操作方面具有明显的优势,即易于使用和坚固耐用。但是,由于缺乏合适的控制策略,它们在自主控制方面的潜力仍未得到开发。为了解决这个问题,在这项工作中,我们提出了一种方法,可以从观察人类策略开始,使软手能够自主地抓握物体。通过深度神经网络实现的分类器将要抓取的物体的视觉信息作为输入,并预测人类将执行哪些操作来实现目标。因此,此信息用于从一组人类启发的原语中选择一个,
  3. 所属分类:深度学习

    • 发布日期:2019-10-20
    • 文件大小:560128
    • 提供者:qq_16481211
  1. 驱动光耦316J资料.pdf

  2. 驱动光耦316J资料pdf,驱动光耦316J资料HCPL31 6J Product Overview Descr iption Two lighL einiLling diodes and two integrated circuits The HcPl-316 is a highly integrated power control housed in the same so-16 package provide the input device that incorporates all t
  3. 所属分类:其它

    • 发布日期:2019-10-12
    • 文件大小:431104
    • 提供者:weixin_38743481
  1. 在Android上实现LED灯的驱动控制实例(图文).pdf

  2. 在Android上实现LED灯的驱动控制实例(图文)pdf,在Android上实现LED灯的驱动控制实例(图文)idefire GPMDAT 53C64XX GPMAT defi S3C64Xx GPMPJD 50. defire VIB ON Ox11 define工BFF0x22 static const sruct file_operations GrIo Viberator__ ops- 53 When = THIS MOU二E, 54。 aper GPIO VIB open PTMT3
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:1048576
    • 提供者:weixin_38744375
  1. Windows Server 2109 蓝牙驱动 IVTBlueSoleil.10.0.497.0

  2. IVTBlueSoleil.10.0.497.0-Win2008R2-Win2019-OK 20190420 注:压缩包中附有安装截图 1、使用效果简介: 安装之前,通过Windows Server 2019自带蓝牙驱动,QCY Q13勉强能够使用,但需要经常性重新配对才行。moloke D16虽然能够配对发声,但发声几秒后就出现声音阻塞,无法正常听音。 经测试,在Windows Server 2019中安装该驱动后,能够象在Win7中一样,正常使用两款蓝牙耳机:QCY Q13、mol
  3. 所属分类:Windows Server

    • 发布日期:2019-04-20
    • 文件大小:146800640
    • 提供者:shuren8
  1. 节奏坦克Waltz圆舞曲外置声卡驱动程序 v2.16.0 官方最新版

  2. 此款驱动是节奏坦克Waltz圆舞曲USB外置声卡驱动官方最新版,圆舞曲系列产品是为绝对要求音乐完美回放的爱乐者们所量身定制的Hi-End级PCHIFI装置。圆舞曲系列的设计目标是架起一座沟通的桥梁,让爱乐者们能够通过这个系列的产品清晰的触摸到作曲家、演奏家为听众表达,欢迎下载体验
  3. 所属分类:其它

    • 发布日期:2020-07-03
    • 文件大小:1048576
    • 提供者:weixin_38501045
« 12 3 4 5 6 7 8 9 10 »