您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA实现 DDS源码

  2. FPGA DDS VHDL 引言 目前市面上有多种DDS(直接数字合成)信号源芯片,如美国AD公司的AD9850,这类专用芯片由于价格昂贵、功能固定单一,使其应用受到限制。本文采用Altera公司的ACEXIK系列FPGA(现场可编程门阵列)器件,在一个FPGA器件中就可以很方便地实现相位累加器和波形查找表。使用VHDL(甚高速集成电路硬件描述语言)在QuartusII工具软件的环境中进行设计,可以一气呵成地完成硬件设计、仿真、综合、测试,直至对FPGA器件的配置,从而大大简化了设计过程、提高
  3. 所属分类:硬件开发

    • 发布日期:2009-05-08
    • 文件大小:130048
    • 提供者:willdododo
  1. VHDL&VerilogHDL简明教程.

  2. 在本章开始我们了解一下什么是硬件描述语言以及数字系统设计中的一些基本概念。在 设计中,FPGA、CPLD 等可编程器件得到了越来越多的应用,其一是因为这些器件可以在其 中实现许多分立元器件实现的功能,这样就缩小了电路板的面积;其二,这些器件的可编程 使得设计可以随时变更,而不需要重新布线制板。当我们的设计验证通过之后,如果需要大 批量生产时候,我们可以把可编程器件中的设计交给半导体厂商进行流片,这样可以大大降 低生产成本,如果设计的芯片有较好的通用性,我们还可以去出售自己设计的芯片了。EDA
  3. 所属分类:嵌入式

    • 发布日期:2009-08-06
    • 文件大小:830464
    • 提供者:lpyzckl
  1. 基于FPGA的图像预处理滤波算法

  2. 论述了现场可编程逻辑门阵列在实时数字图像处理中的应用,同时给出了滤波算法的基本原理、系统的组成框图、VHDL程序的流程图等。
  3. 所属分类:其它

  1. 基于FPGA的高精度数字式移相正弦波信号发生器设计

  2. 摘要 : 文章介绍了基于FPGA和数字频率合成技术,利用VHDL编制程序并下载至Xilinx公司的SpartanⅡ系列XC2S100E-6PQ208 FPAG芯片上,加以简单的外围电路,构成了高精度数字式移相正弦波信号发生器。该装置能够产生频率、相位、幅度均可数字式预置并可调节的两路正弦波信号,相位差范围为0~359°,步进为1°。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-24
    • 文件大小:118784
    • 提供者:sfhgky
  1. FPGA中的VHDL设计技巧

  2. 介绍了几种在FPGA、CPLD设计时的技巧
  3. 所属分类:硬件开发

    • 发布日期:2009-08-25
    • 文件大小:219136
    • 提供者:zhishui23
  1. 用VHDL语言编写的数字钟

  2. 用VHDL语言编写的数字钟,有整点报时功能。校时功能.还有闹钟工功能。可以定闹钟。适用于FPGA、CPLD。shiEDA实验的必备程序
  3. 所属分类:硬件开发

    • 发布日期:2009-11-14
    • 文件大小:9216
    • 提供者:huzhongtang
  1. VHDL分频器的设计

  2. 主要介绍各种分频的设计(奇数、偶数、小数等各种分频源代码,而且还可以调节占空比。)
  3. 所属分类:硬件开发

    • 发布日期:2009-12-13
    • 文件大小:322560
    • 提供者:nbziwei
  1. FPGA开发VHDL设计实例程序

  2. FPGA开发VHDL设计实例程序 有27个设计实例,包括DA/AD,频率计、电子琴、波形发生、出租车计价器等等
  3. 所属分类:硬件开发

    • 发布日期:2010-11-03
    • 文件大小:1048576
    • 提供者:a597667465
  1. FPGA_CPLD及其硬件描述语言VHDL

  2. 本文介绍了CPLD的发展、类型及应用前最前沿的逻辑器件现场可编程门阵列(FPGA)、复杂的可编程逻辑器件;同时给出了其硬件描述语言(VHDL)的特点、应用及编程方法。
  3. 所属分类:硬件开发

    • 发布日期:2010-11-05
    • 文件大小:285696
    • 提供者:shenxielangzi
  1. 基于FPGA的交通系统设计

  2. 基于FPGA的交通系统设计,采用VHDL语言设计
  3. 所属分类:交通

    • 发布日期:2011-05-12
    • 文件大小:103424
    • 提供者:brown88
  1. DS1302 VHDL

  2. 本代码主要是利用fpga、vhdl语言开发DS1302控制程序。
  3. 所属分类:其它

    • 发布日期:2013-08-13
    • 文件大小:19456
    • 提供者:xyhxing
  1. 基于FPGA与VHDL的微型打印机的驱动设计

  2. FPGA 即现场可编程逻辑阵列。是在CPLD 的基础上发展起来的新型高性能可编程逻辑器件。FPGA 的集成度很高,其器件密度从数万门到数千万门不等,可以完成极其复杂的时序与组合逻辑电路功能,适用于高速、高密度的高端数字逻辑电路设计领域。
  3. 所属分类:其它

    • 发布日期:2020-07-21
    • 文件大小:73728
    • 提供者:weixin_38617297
  1. 基于CPLD及FPGA的VHDL语言电路优化设计

  2. VHDL(Very High Speed Integrated CIRCUITHARDWARE DEscr iptION Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起来的。它是一种面向设计、多层次的硬件描述语言,是集行为描述、RTL描述、门级描述功能为一体的语言,并已成为描述、验证和设计数字系统中最重要的标准语言之一。
  3. 所属分类:其它

    • 发布日期:2020-08-31
    • 文件大小:149504
    • 提供者:weixin_38657457
  1. 采用CPLD/FPGA的VHDL语言电路优化原理设计

  2. 优化设计是可编成逻辑设计的精华所在,如何节省所占用的面积、如何提高设计的性能是可编成逻辑设计的核心,这两点往往也成为一个设计甚至项目成败的关键因素。下面结合超声探伤数据采集卡设计过程中,并基于Altera公司的EPM7192 CPLD芯片的编程经历来论述VHDL电路的优化方法。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:206848
    • 提供者:weixin_38696339
  1. 基于CPLD/FPGA的VHDL语言电路优化设计

  2. 优化设计是可编成逻辑设计的精华所在,如何节省所占用的面积、如何提高设计的性能是可编成逻辑设计的核心,这两点往往也成为一个设计甚至项目成败的关键因素。下面结合超声探伤数据采集卡设计过程中,并基于Altera公司的EPM7192 CPLD芯片的编程经历来论述VHDL电路的优化方法。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:197632
    • 提供者:weixin_38623366
  1. 基于FPGA和VHDL的USB2.0控制器设计

  2. 在视频存储和图像宽带领域中,经常遇到实时高速数据传输的要求。2000年4月,由Intel、Microsoft、NEC、Compaq、Lucent、Phillips等公司共同制订的USB2.0(Universal Serial Bus)传输协议,其速度远远超过了目前使用IEEE1394接口进行视频传输的400Mbps,达到了480Mbps;而且具有即插即用的PnP(Plug And Play)、可进行菊花链式的级联(通过USB HUB进行外围扩展)、可串连多达127个USB设备等优点。应用该协议可
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:267264
    • 提供者:weixin_38592847
  1. EDA/PLD中的基于CPLD/FPGA的VHDL语言电路优化设计

  2. 0 引  言   VHDL(Very High Speed Integrated Circuit Hardware Descr iption Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起来的。它是一种面向设计、多层次的硬件描述语言,是集行为描述、RTL描述、门级描述功能为一体的语言,并已成为描述、验证和设计数字系统中最重要的标准语言之一。由于VHDL在语法和风格上类似于高级编程语言,可读性好,描述能力强,设计方法灵活,可移植性强,因此它已成为广
  3. 所属分类:其它

    • 发布日期:2020-11-08
    • 文件大小:178176
    • 提供者:weixin_38632916
  1. EDA/PLD中的使用LeonardoSpectrum综合Xilinx FPGA的VHDL程序

  2. 摘 要: 本文总结了使用LeonardoSpectrum综合Xilinx FPGA的VHDL程序应用,以及在VHDL中使用不同类型RAM的方法。关键词: LeonardoSpectrum;FPGA;VHDLLeonardoSpectrum 是Mentor Graphics公司设计的功能强大的EPLD/FPGA/ASIC综合工具,支持大部分EPLD/FPGA厂商的产品。LeonardoSpectrum支持VHDL、Verilog、EDIF的综合、优化和定时分析,可以运行在Windows 98/
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:61440
    • 提供者:weixin_38652270
  1. FSK调制解调的matlab、Verilog、VHDL代码

  2. 这是FSK二进制频移键控的仿真和FPGA实现的代码,用matlab做了连续、非连续fsk的仿真,用quartus进行verilog和VHDL实现,最后用modelsim进行仿真。
  3. 所属分类:电信

    • 发布日期:2021-03-19
    • 文件大小:38797312
    • 提供者:dovings
  1. 基于CPLD/FPGA的VHDL语言电路优化设计

  2. 0 引  言   VHDL(Very High Speed Integrated Circuit Hardware Descr iption Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起来的。它是一种面向设计、多层次的硬件描述语言,是集行为描述、RTL描述、门级描述功能为一体的语言,并已成为描述、验证和设计数字系统中重要的标准语言之一。由于VHDL在语法和风格上类似于编程语言,可读性好,描述能力强,设计方法灵活,可移植性强,因此它已成为广大ED
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:222208
    • 提供者:weixin_38738511
« 12 3 4 5 6 7 8 9 10 ... 35 »