您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. FPGA中LVDS差分高速传输的实现

  2. FPGA中LVDS差分高速传输的实现,FPGA中LVDS差分高速传输的实现
  3. 所属分类:硬件开发

  1. Xilinx_FPGA中LVDS差分高速传输的实现.doc

  2. FPGA中的差分管脚 为了适用于高速通讯的场合,现在的FPGA都提供了数目众多的LVDS接口。如Spartan-3E系列FPGA提供了下列差分标准: LVDS Bus LVDS mini-LVDS RSDS Differential HSTL (1.8V, Types I and III) Differential SSTL (2.5V and 1.8V, Type I) 2.5V LVPECL inputs
  3. 所属分类:硬件开发

    • 发布日期:2019-07-09
    • 文件大小:763904
    • 提供者:ysh_wh
  1. (Xilinx)FPGA中LVDS差分高速传输的实现.doc

  2. VerilogHDL扫盲文VerilogHDL扫盲文VerilogHDL扫盲文VerilogHDL扫盲文
  3. 所属分类:硬件开发

    • 发布日期:2019-12-29
    • 文件大小:786432
    • 提供者:bomb_82
  1. 基于FPGA的LVDS高可靠性传输优化设计

  2. 针对LVDS高速链路传输过程中出现的误码及传输距离较短问题,分别从硬件和逻辑编码方面提出各自优化方案。硬件方面在LVDS发送端增加高速驱动器,接收端增加自适应线缆均衡器,可补偿信号在长距离传输过程中出现的衰减,还原双绞线中的畸变信号。在逻辑编码方面,对传统的10B8B编码方式进行改进,设计出一种具有自纠错能力的10B6B编码方式,不仅改善了双绞线中直流平衡状况,而且减小了LVDS传输过程中的误码率。优化后的LVDS接口与正常编码的LVDS接口相比,具有更远的传输距离,更小的误码率。该设计方法简单
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:424960
    • 提供者:weixin_38718434
  1. EDA/PLD中的基于FPGA的LVDS接口应用

  2. 摘要 介绍了LVDS技术的原理,对LVDS接口在高速数据传输系统中的应用做了简要的分析,着重介绍了基于FPGA的LVDS_TX模块的应用,并通过其在DAC系统中的应用实验进一步说明了LVDS接口的优点。   介绍了基于FPGA的LVDS模块的应用,实现了将数据通过FPGA(Ahera StratixII EP2S90)的LVDS发送模块的传输,以640 Mbit·s-1数据率送至DAC电路。   1 LVDS技术简介   LVDS,即Low-Voltage Differential Sig
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:826368
    • 提供者:weixin_38751014
  1. 嵌入式系统/ARM技术中的可以实现真正多点接口的总线M-LVDS

  2. 多年来,业界已开发出多种成熟的技术用于在背板总线上传输信号。随着电信和数据通信业务量的不断增长,数据传输速度的不断提高,一些传统的单端和发射极耦合逻辑技术的局限性越来越明显。多点低电压差分信号(M-LVDS)是一种类似LVDS的接口标准,它可以为今天的总线应用带来高速、低功率和低EMI传输解决方案等优势,非常适合数据、控制、同步和时钟信号使用。   在目前的背板上,承载净负荷数据的高速信号一般走的是点到点(一个驱动器和一个接收器)接口,这些接口连接着各种内核芯片,如ASIC、FPGA、DSP等
  3. 所属分类:其它

    • 发布日期:2020-11-11
    • 文件大小:87040
    • 提供者:weixin_38684892
  1. 嵌入式系统/ARM技术中的M-LVDS可以实现真正多点接口的总线

  2. 多年来,业界已开发出多种成熟的技术用于在背板总线上传输信号。随着电信和数据通信业务量的不断增长,数据传输速度的不断提高,一些传统的单端和发射极耦合逻辑技术的局限性越来越明显。多点低电压差分信号(M-LVDS)是一种类似LVDS的接口标准,它可以为今天的总线应用带来高速、低功率和低EMI传输解决方案等优势,非常适合数据、控制、同步和时钟信号使用。   在目前的背板上,承载净负荷数据的高速信号一般走的是点到点(一个驱动器和一个接收器)接口,这些接口连接着各种内核芯片,如ASIC、FPGA、DSP等
  3. 所属分类:其它

    • 发布日期:2020-11-11
    • 文件大小:89088
    • 提供者:weixin_38704284
  1. 嵌入式系统/ARM技术中的用M-LVDS实现真正多点接口总线

  2. 多年来,业界已开发出多种成熟的技术用于在背板总线上传输信号。随着电信和数据通信业务量的不断增长,数据传输速度的不断提高,一些传统的单端和发射极耦合逻辑技术的局限性越来越明显。多点低电压差分信号(M-LVDS)是一种类似LVDS的接口标准,它可以为今天的总线应用带来高速、低功率和低EMI传输解决方案等优势,非常适合数据、控制、同步和时钟信号使用。   在目前的背板上,承载净负荷数据的高速信号一般走的是点到点(一个驱动器和一个接收器)接口,这些接口连接着各种内核芯片,如ASIC、FPGA、DSP等
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:89088
    • 提供者:weixin_38608726
  1. 嵌入式系统/ARM技术中的基于FPGA的总线型LVDS通信系统设计

  2. 摘要:总线型低压差分信号(BLVDS)是一种性能优良的物理层接口标准。本文介绍一种基于总线型LVDS的通信系统方案,以及利用FPGA芯片实现系统核心模块的设计方法。该方案可广泛使用在高速通信领域,具有较高的应用价值。     关键词:BLVDS FPGA 串化 解串 高速通信 低压差分信号LVDS(Low Voltage Differential Signal)是由ANSI/TIA/EIA-644-1995定义的用于高速数据传输的物理层接口标准。它具有超高速(1.4Gb/s)、低功耗及低电
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:208896
    • 提供者:weixin_38706782
  1. 可控硅过零检测调速方案怎么做?软硬件具体怎么设计?

  2. LVDS已广泛应用于接口器件和现场可编程门阵列(FPGA)、专用集成电路(ASIC)中,采用LVDS的系统成功实现了高速互连。然后,并非所有的LVDS I/O接口都有良好的性能。例如,一些ASIC或FPGA的LVDS I/O可能不象标准器件中的LVDS I/O那样适合在PCB板上驱动差分迹线(trace)。由于PCB板布局存在的问题,甚至导致设计良好的标准器件的信号传输质量变差。当用ASIC或FPGA等作为系统件时,有时不可能使器件尽可能靠近连接器放置,这样会造成迹线变线、反射增大、损耗增加。为
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:121856
    • 提供者:weixin_38614812
  1. 基于FPGA的LVDS接口应用

  2. 摘要 介绍了LVDS技术的原理,对LVDS接口在高速数据传输系统中的应用做了简要的分析,着重介绍了基于FPGA的LVDS_TX模块的应用,并通过其在DAC系统中的应用实验进一步说明了LVDS接口的优点。   介绍了基于FPGA的LVDS模块的应用,实现了将数据通过FPGA(Ahera StratixII EP2S90)的LVDS发送模块的传输,以640 Mbit·s-1数据率送至DAC电路。   1 LVDS技术简介   LVDS,即Low-Voltage Differential Sig
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:987136
    • 提供者:weixin_38655284