您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL LCD1602显示程序

  2. 这是一个基于VHDL的FPGA的程序。它的功能是实现LCD1602显示,通过GPGA来控制LCD1602的显示方式和显示的字符。
  3. 所属分类:硬件开发

    • 发布日期:2009-09-12
    • 文件大小:5120
    • 提供者:liangan88
  1. FPGA 开发版资料

  2. FPGA 开发版资料 1数字逻辑电路基础实验 01_led1 #################################### led灯驱动实验 点亮全部8个led 02_led_twinkle #################################### led灯闪烁实验,8个LED灯会以一定频率闪烁 03_led_water #################################### led流水灯实验 04_key_led ################
  3. 所属分类:硬件开发

    • 发布日期:2013-03-17
    • 文件大小:2097152
    • 提供者:baiyunh0
  1. 显示/光电技术中的基于FPGA的多功能LCD显示控制器设计

  2. 在许多嵌入式系统应用领域,都需要友好的人机信息界面,传统的数码管或者发光二极管显示方式已经不能满足实际的显示需求,而LCD1602/LCD12864液晶显示模块具有低压微功耗、寿命长、显示信息量大、超薄等显着优点,被广泛应用于智能仪器、仪表等各种便携式电子信息产品上来实现数字、字符和图形的可视化信息显示。目前,针对 LCD1602/LCD12864 液晶模块的显控技术主要有两种方式,首先是基   于各种微处理器 (8051/ARM/NoisⅡ/SPCE061) 的微程序控制方式,这种软件组态的
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:90112
    • 提供者:weixin_38513565
  1. FPGA控制LCD1602字符显示

  2. 1.LCD1602(DDRAM)的外形是这样子滴: 背面: 可见区范围:16:heavy_multiplication_x:2行;可通过“光标或显示移动指令”使字符慢慢移动到可见的显示范围内,看到字符的移动效果。 管脚分配: 2.LCD配置: (1)通用配置 /*清屏 */ /*置输入模式 */ /*显示开关控制 */ /*置功能 */ (2)显示数据 只配置前16个地址(如下),用于显示: 之后逐次配置第一行、第二行的地址和数据: /*置第一行地址 */ /*置第一行数据
  3. 所属分类:其它

    • 发布日期:2021-01-06
    • 文件大小:891904
    • 提供者:weixin_38660327
  1. 基于FPGA的多功能LCD显示控制器设计

  2. 在许多嵌入式系统应用领域,都需要友好的人机信息界面,传统的数码管或者发光二极管显示方式已经不能满足实际的显示需求,而LCD1602/LCD12864液晶显示模块具有低压微功耗、寿命长、显示信息量大、超薄等显着优点,被广泛应用于智能仪器、仪表等各种便携式电子信息产品上来实现数字、字符和图形的可视化信息显示。目前,针对 LCD1602/LCD12864 液晶模块的显控技术主要有两种方式,首先是基   于各种微处理器 (8051/ARM/NoisⅡ/SPCE061) 的微程序控制方式,这种软件组态的
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:89088
    • 提供者:weixin_38747144