您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog HDL硬件描述语言.rar

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 1 5 3.5 编译指令 15 3.5.1 `defi
  3. 所属分类:C++

    • 发布日期:2009-09-15
    • 文件大小:3145728
    • 提供者:wsedwsed
  1. 电子专业电路方向VHDL课件2

  2. 如果你是电子专业的学生,而且选择了电路方向,那么VHDL就是一个你进行仿真练习的好工具,此课件介绍了一些入门知识
  3. 所属分类:嵌入式

    • 发布日期:2009-10-01
    • 文件大小:990208
    • 提供者:lxhf1000
  1. 华为数字系统设计培训资料全集【整理篇】【华为绝密资料】part1

  2. 注:请把part1、part2、part3、part4全部下载后放到一起解压 内含 《FPGA技巧Xilinx》 《Verilog HDL 华为入门教程·华为》 《Verilog典型电路设计·华为》 《华为_大规模逻辑设计指导书·华为》 《华为同步电路设计规范·华为》 《硬件工程师手册_全·华为》…… 17 4 Verilog HDL 基本语法 .................................................... 16 3.3.4 混合设计描述 ......
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:1048576
    • 提供者:tanhaijun2007
  1. VHDL training(HDL开发应用入门资料2)

  2. VHDL training(HDL开发应用入门资料2)。
  3. 所属分类:其它

    • 发布日期:2010-05-28
    • 文件大小:2097152
    • 提供者:rainorshine
  1. VHDL实用教程,硬件描述语言

  2. 第1 章绪 论 ....................................................................................................................1 § 1.1 关于EDA...............................................................................................................1
  3. 所属分类:硬件开发

    • 发布日期:2010-07-14
    • 文件大小:4194304
    • 提供者:angus36
  1. 《VHDL实用教程》(潘 松 王国栋 编著)PDF文件(完整的)

  2. 第1章:绪论 第2章:VHDL入门 第3章:VHDL程序结构 第4章:VHDL语言要素 第5章:VHDL顺序语句 第6章:VHDL并行语句 第7章:VHDL的藐视风格 第8章:仿真 第9章:综合 第10章:有限状态机FSM 第11章:数字滤波器设计 第12章:VHDL设计平台使用导向 第13章:VHDL设计实践与实验 第14章:电子设计竞赛实例介绍
  3. 所属分类:嵌入式

    • 发布日期:2010-12-23
    • 文件大小:4194304
    • 提供者:xiaobaitu8629
  1. MAXPLUS软件的使用(快速入门)

  2. 第1章 硬件描述语言VHDL 第2章 CPLD和FPGA 第3章 MAXPLUS软件的使用(第1-7节) 学好VHDL的重要性
  3. 所属分类:硬件开发

    • 发布日期:2011-07-09
    • 文件大小:1048576
    • 提供者:ljc16
  1. VHDL入门课件

  2. 1.绪论 2.开发软件与开发流程 3.VHDL硬件描述语言 4.Xilinx IP核、FPGA配置和电源设计 5.基于FPGA的系统实践 6.基于FPGA的嵌入式设计简介 7.上机实验
  3. 所属分类:其它

    • 发布日期:2011-12-17
    • 文件大小:22020096
    • 提供者:l516167019
  1. vhdl 入门2——1

  2. 硬件设计语言VHDL 非常简单的入门教程,在这里可以学习到
  3. 所属分类:硬件开发

    • 发布日期:2012-03-06
    • 文件大小:152576
    • 提供者:binshao199023
  1. VHDL入门2-2.pdf

  2. VHDL入门2-2硬件设计语言.,大家可以在这里简单的学到一些关于vhdl语言设计的相关知识。
  3. 所属分类:硬件开发

    • 发布日期:2012-03-06
    • 文件大小:248832
    • 提供者:binshao199023
  1. FPGA入门文档

  2. FPGA入门文档通过本项目,利用VHDL语言完成一个基于FPGA的三人表决器设计, 并下载到FPGA中进行验证,达到以下要求 1 掌握EDA技术基本概念 2 熟悉基于FPGA的EDA设计流程。 3 了解CPLD/FPGA的内部结构 4 了解QuartusII 软件的基本使用方法 5 了解VHDL语言的基本结构
  3. 所属分类:硬件开发

    • 发布日期:2012-05-30
    • 文件大小:926720
    • 提供者:xinghai5000
  1. VHDL入门课件

  2. 有三个文档,非常不错,从EDA讲解到 QUARTUS 2 的原理图输入到仿真
  3. 所属分类:嵌入式

    • 发布日期:2012-10-04
    • 文件大小:11534336
    • 提供者:brsys
  1. VHDL 应用教程

  2. 学习VHDL的入门书籍 目录 第1 章绪 论 ....................................................................................................................1 § 1.1 关于EDA.................................................................................................
  3. 所属分类:专业指导

    • 发布日期:2013-02-25
    • 文件大小:4194304
    • 提供者:trondai
  1. Verilog HDL 硬件描述语言(实用版)

  2. Verilog HDL 硬件描述语言 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编
  3. 所属分类:硬件开发

    • 发布日期:2013-07-02
    • 文件大小:4194304
    • 提供者:shappy97
  1. VHDL设计简单例程100例

  2. VHDL设计简单例程100例,简单入门VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试
  3. 所属分类:其它

    • 发布日期:2013-08-03
    • 文件大小:340992
    • 提供者:kenianhang
  1. VHDL设计开发规范

  2. 目 录 1 引言 2 1 1 编写目的 2 1 2 背景 2 1 3 参考人员 2 1 4 缩写与定义 2 2 VHDL设计规范 3 2 1 详细实现报告和文档 3 2 2 VHDL代码编写规范 4 2 2 1 信号命名法 4 2 2 2 模块命名法 5 2 2 3 程序书写格式 5 2 2 4 测试向量的编写 8 2 2 5 代码编写风格 11 2 3 测试报告 24 3 XILINX FPGA设计规范 26 3 1 VERTEX2提供的资源 26 3 1 1 CLB资源: 26 3 1 2
  3. 所属分类:硬件开发

    • 发布日期:2013-10-18
    • 文件大小:566272
    • 提供者:dabbler_zhu
  1. VHDL入门·解惑·经典实例·经验总结

  2. 本书分4部分对VHDL进行了系统的介绍。第1部分为入门篇,介绍了VHDL的常用语法及利用VHDL实现系统层次化设计的方法;第2部分为解惑篇,详细解答了VHDL初学者常见的一些问题;第3部分为实例篇,包括多个常用单元电路的VHDL程序和包括数字频率计在内的多个经典入门题目的参考程序,并给出了2002年北京市大学生电子设计竞赛(EDA专项)的参考设计;第4部分为经验篇,对一些VHDL语句的可综合性进行探讨,并给出了6种可综合的进程语句的模板。 本书内容详实,语言通俗、易懂,附带大量经典入门练习题及
  3. 所属分类:硬件开发

    • 发布日期:2017-07-13
    • 文件大小:34603008
    • 提供者:joxon
  1. 入门学习EDA实验指导书

  2. 入门学习EDA实验指导书 实验1 2选1多路选择器的VHDL设计 实验2 含异步清零和同步时钟使能的加法计数器设计 实验3 1位全加器原理图输入设计 实验4 2位十进制频率计原理图输入设计 实验5 7段数码显示译码器设计 实验6 数控分频器的VHDL设计 实验7 正弦信号发生器设计 实验8 基于状态机的ADC0809采样控制电路设计 实验9 循环冗余(CRC)模块设计 实验10 基于流水线技术的高速数字相关器设计 实验11 基于直接数字合成器(DDS)的正弦波形发生器设计 实验12 数字钟
  3. 所属分类:硬件开发

    • 发布日期:2018-08-06
    • 文件大小:7340032
    • 提供者:weixin_41048140
  1. VHDL设计简单例程100例

  2. VHDL设计简单例程100例,简单入门VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试
  3. 所属分类:其它

    • 发布日期:2020-03-20
    • 文件大小:271360
    • 提供者:ruoyun88
  1. VHDL设计简单例程100例

  2. VHDL设计简单例程100例,简单入门VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试
  3. 所属分类:其它

    • 发布日期:2020-02-11
    • 文件大小:271360
    • 提供者:zhang1987110
« 12 3 »