您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的数字时钟设计

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL和C语言在FPGA实验板上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒、。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数模块、处理器及外设模块,并且使用QuartusII运用VHDL语言对分频和计数两个模块进行硬件电路设计和电路波形仿真,运用sopc技术嵌入内核并创建了系统所需的外部设备FLASH和SRAM软件通过使用NiosII运用C语言进行编程然后下载到硬件电路中
  3. 所属分类:C

    • 发布日期:2010-06-16
    • 文件大小:1048576
    • 提供者:mavellous1986
  1. 基于VHDL的简易数字钟的设计

  2. 整个VHDL数字钟的实验报告 介绍了利用VHDL硬件描述语言设计的简易数字钟的思路和技巧。在QuatusⅡ开发环境中编译和仿真了所设计的程序,并在可编程逻辑器件上下载验证。仿真和验证结果表明,该设计方法切实可行,具有一定的借鉴性。
  3. 所属分类:嵌入式

    • 发布日期:2010-06-30
    • 文件大小:87040
    • 提供者:liuchang631
  1. vhdl数字钟的设计

  2. 摘 要 4 Abstract 5 第一章 电子设计自动化(EDA)发展概述 6 1.1什么是电子设计自动化(EDA) 6 1.2 EDA的发展历史 6 第二章VHDL简介 8 2.1 硬件描述语言VHDL 8 2.2 VHDL的组成 8 2.3 程序包(Package) 8 2.4 库(Library) 9 2.5 VHDL运算符 9 2.6 VHDL数据对象 9 2.7 VHDL常用语句 10 2.8 元件声明及元件例化 10 2.9 配置(Configuration) 11 2.10子程序
  3. 所属分类:嵌入式

    • 发布日期:2010-08-07
    • 文件大小:201728
    • 提供者:feiyue165
  1. 数字系统设 计实验指导书.doc

  2. 第一章 MAX+PLUS II开发软件简介 ................................3 1.1 MAX+PLUS 软件的功能................................................ 3 1.1.1 MAX+PLUS II的组成 ................................................. 3 1.1.2 MAX+PLUS II的VHDL设计资源 ........................
  3. 所属分类:嵌入式

    • 发布日期:2011-02-24
    • 文件大小:1048576
    • 提供者:bhanzdan
  1. VHDL编程--数字钟

  2. VHDL编程--数字钟 很好很实用的 对于初学者是个很好的例子
  3. 所属分类:其它

    • 发布日期:2011-03-25
    • 文件大小:160768
    • 提供者:yuhanwei1988
  1. 数字钟的VHDL实现

  2. 数字钟的VHDL全部代码和工程文件,只需要更改相应的接口就可以在你的板子上运行。实现了三个按钮控制全部内容,跟市场上的电子表功能几乎一样,很难得的实验资料,是EDA实验的必做课题。
  3. 所属分类:其它

    • 发布日期:2011-11-20
    • 文件大小:993280
    • 提供者:yuyuqifei
  1. 电子钟实验代码

  2. 通过使用硬件语言VHDL实验数字钟的编程。
  3. 所属分类:硬件开发

    • 发布日期:2012-03-08
    • 文件大小:781312
    • 提供者:hhf816
  1. EDA实验报告万年历.pdf

  2. EDA实验报告万年利用QuartusII软件采用模块化设计方法设计一个数字钟。通过原理图输入进行设计,取代VHDL语言设计。软件仿真调试成功后编译下载至可编程实验系统SmartSOPC中进行硬件测试。实现并充分领略硬件设计软件化的精髓。
  3. 所属分类:专业指导

    • 发布日期:2012-05-12
    • 文件大小:3145728
    • 提供者:yu12345fang
  1. 数字钟的原程序

  2. 这是我在学习过程中编的数字钟的原程序,含各种时钟模块,以及计数器,累加器等,可以直接-,多平台,VHDL编程
  3. 所属分类:专业指导

    • 发布日期:2006-05-23
    • 文件大小:550912
    • 提供者:chenxh
  1. 数字钟-verilog

  2. 数字钟 verilog HDL 实验要求:使用Verilog HDL或VHDL语言编程实现数字钟基本功能 实验仪器:FPGA集成实验箱
  3. 所属分类:嵌入式

    • 发布日期:2013-01-05
    • 文件大小:236544
    • 提供者:greengood
  1. 我们学校的FPGA的课件

  2. 师资培训FPGA授课提纲 一、 概述(1天) 1. 设计成果展示(引题) 2. FPGA/CPLD开发的优势 3. 三大逻辑厂商主要器件、开发平台 4. 5种硬件描述语言 5. SOPC设计概述 二、 开发步骤(1天) 1. 软件设计 a环境建立设置 b文本设计流程 c原理图设计流程 2. 硬件设计 a利用实验室平台 b自制设备 3.测井信号分离卡开发实例 三、 VHDL语言(10天) 1. 基本结构 2. 实体设计注意点 3. VHDL语言的对象和数据类型 4. VHDL语言中的顺序语句 5
  3. 所属分类:硬件开发

    • 发布日期:2009-04-06
    • 文件大小:13631488
    • 提供者:gazer_yuol
  1. FPGA课程设计任务书-数字电子钟设计 .doc

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL和C语言在FPGA实验板上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒、。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数模块、处理器及外设模块,并且使用QuartusII运用VHDL语言对分频和计数两个模块进行硬件电路设计和电路波形仿真,运用sopc技术嵌入内核并创建了系统所需的外部设备FLASH和SRAM软件通过使用NiosII运用C语言进行编程然后下载到硬件电路中,
  3. 所属分类:专业指导

    • 发布日期:2020-05-17
    • 文件大小:1048576
    • 提供者:shaxiaoziii
  1. 模电 数电 单片机笔试及面试问题.pdf

  2. 该文档包括数电、模电、单片机、计算机原理等笔试问题,还讲解了关于面试的问题该如何解答,对大家有一定的帮助电流放大就是只考虑输岀电流于输入电流的关系。比如说,对于一个uA级的信号,就需要放大后才能驱动 一些仪器进行识别(如生物电子),就需要做电流放大 功率放大就是考虑输出功率和输入功率的关系。 其实实际上,对于任何以上放大,最后电路中都还是有电压,电流,功率放大的指标在,叫什么放大,只 是重点突出电路的作用而已。 15.推挽结构的实质是什么? 般是指两个三极管分别受两互补信号的控制,总是在一个三极
  3. 所属分类:嵌入式

    • 发布日期:2019-10-12
    • 文件大小:664576
    • 提供者:fromnewword
  1. 模拟电路和数字电路笔试知识和面试知识.pdf

  2. 每次面试都被问到模电和数电,因此想给大家分享一份关于模拟电子技术的面试题,希望有所帮助电流放大就是只考虑输出电流于输入电流的关系。比如说,对于一个uA级的信号,就需要放大后才能驱动 些仪器进行识别(如生物电子),就需要做电流放大。 功率放大就是老虑输出功率和输入功率的关系。 其实实际上,对于任何以上放大,最后电路中都还是有电压,电流,功率放大的指标在,叫什么放大,只 是重点突出电路的作用而已 15.推挽结构的实质是什么? 般是指两个三极管分别受两互补信号的控制,总是在一个三极管导通的时候另一个截
  3. 所属分类:讲义

    • 发布日期:2019-08-18
    • 文件大小:628736
    • 提供者:maosheng007