您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. verilog简单的流水灯程序

  2. 自己编写的,有一定的解释说明,亲测没有问题,很简单很实用希望能有所帮助
  3. 所属分类:专业指导

    • 发布日期:2010-03-10
    • 文件大小:927
    • 提供者:yqjykn123
  1. FPGA实现流水灯Verilog代码

  2. 使用Verilog编写的FPGA流水灯程序,软件为ISE
  3. 所属分类:硬件开发

    • 发布日期:2017-08-14
    • 文件大小:1048576
    • 提供者:qq_30671319
  1. FPGA verilog语言流水灯实验

  2. 用verilog语言编写的流水灯程序,已经在altera cyclone IV板子是测试成功。程序里用了4个LED灯。流水灯流动的速度可以自己设定。
  3. 所属分类:其它

    • 发布日期:2017-09-07
    • 文件大小:3072
    • 提供者:sinat_28976679
  1. FPGA基于NIOS II的电子钟设计

  2. 使用了Verilog和Sopc两项功能,故在硬件部分使用Verilog编写出数码管的驱动程序,使用NiOS II编写实现过程。   1)使用Qsys生成的定时器timer_1ms实现计时功能;     2)使用8个数码管显示时间;     3)使用3个按钮实现调时间和闹钟时间的功能。 按键1:更换模式(模式0:正常显示时间;模式1:调当前时间的小时;模式2;调当前时间的分钟;模式3:当前时间的秒;模式4:调闹钟时间的小时;模式5:调闹钟时间的分钟); 按键2:在非模式0下给需要调节的时间数加一
  3. 所属分类:嵌入式

    • 发布日期:2018-12-17
    • 文件大小:23068672
    • 提供者:g_curry
  1. verilog 编写的流水灯程序

  2. 用verilog编写的流水灯程序,内含多个程序,从一个灯点亮到四个灯。新手入门
  3. 所属分类:硬件开发

    • 发布日期:2019-03-04
    • 文件大小:111616
    • 提供者:weiyunguan8611