您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA—EDA技术实用教程(pdf影印)

  2. 学习VHDL和FPGA的经典资料 第 1 章 概述 1.1 EDA 技术及其发展 1.2 EDA 技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2
  3. 所属分类:硬件开发

    • 发布日期:2010-06-07
    • 文件大小:8388608
    • 提供者:zt839486421
  1. VHDL实用教程,硬件描述语言

  2. 第1 章绪 论 ....................................................................................................................1 § 1.1 关于EDA...............................................................................................................1
  3. 所属分类:硬件开发

    • 发布日期:2010-07-14
    • 文件大小:4194304
    • 提供者:angus36
  1. vhdl语言实现的乒乓球游戏

  2. vhdl语言实现的乒乓球游戏,留在这里以备以后学习应用!
  3. 所属分类:C/C++

    • 发布日期:2010-08-27
    • 文件大小:1048576
    • 提供者:haijiaoyouzi
  1. EDA—EDA技术实用教程

  2. 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2 ASIC 及其设计流程 2.2.1 ASIC 设计方法 2.2.2 一般ASIC 设计的流程 2.3 常用EDA 工具 2.3.1 设计输入编辑器 2.3.2
  3. 所属分类:硬件开发

    • 发布日期:2010-11-19
    • 文件大小:8388608
    • 提供者:sundyqt
  1. VHDL 编程乒乓球游戏

  2. 利用VHDL语言实现一个简单的乒乓球游戏。利用VHDL语言实现一个简单的乒乓球游戏。利用VHDL语言实现一个简单的乒乓球游戏。
  3. 所属分类:嵌入式

    • 发布日期:2011-12-02
    • 文件大小:724
    • 提供者:baiyvwuxia
  1. VHDL 应用教程

  2. 学习VHDL的入门书籍 目录 第1 章绪 论 ....................................................................................................................1 § 1.1 关于EDA.................................................................................................
  3. 所属分类:专业指导

    • 发布日期:2013-02-25
    • 文件大小:4194304
    • 提供者:trondai
  1. VGA显示,PS2键盘控制乒乓球游戏

  2. 乒乓球游戏,pong_game.VHDL 语言,可实现两人对打,VGA显示,PS2键盘控制,界面友好,有开始界面,进行界面,和结束界面,花了一个月慢慢调试的,所有源代码共享,里面有根据自己理解写的注释,仅供参考。
  3. 所属分类:硬件开发

    • 发布日期:2013-05-08
    • 文件大小:9437184
    • 提供者:dytdyt2010
  1. 乒乓球游戏机的EDA设计

  2. 本设计制作了一个乒乓球游戏机。在设计中,系统开发平台为MAX+PLUSII,程序设计语言为VHDL。设计的乒乓球游戏机能够正确判断与显示乒乓球的位置,并能自动裁判和记分的装置。本课程设计了乒乓球游戏机程序并进行了程序仿真,从而实现一个完整的乒乓球游戏机。程序通过调试运行,初步实现了设计目标,可应用于实际的乒乓球游戏比赛中。本论文对系统的功能设计、实现流程及正确使用都做了详细的描述。
  3. 所属分类:嵌入式

    • 发布日期:2008-12-18
    • 文件大小:283648
    • 提供者:dhlgwxw
  1. 基于PFGA的乒乓球游戏机

  2. FPGA可以很方便的产生视频信号。 乒乓球游戏由一个在屏幕上反复弹跳的小球和用来挡住小球使之反弹的挡板。在这个设计中,挡板的位置由用户通过鼠标来控制。 利于VHDL语言实现硬件电路的设计
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:34816
    • 提供者:wangyan6432