点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - 一位全加全减
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
微型计算机讲稿
硬件结构 硬件资源 8086微处理器 8086汇编语言 软件资源 8086微机 存贮器 8259A I/O接口 8255A 8253 第一章 微型计算机概论 基本概念: 1. 微处理器、微型计算机、微机系统 2.内部总线、外部总线(DB、AB、CB) 基本运算: 负数的补码表示 十进制数→补码 第二章 80X86微处理器的结构 1. 8086CPU的内部构成(EU,BIU) ;工作特点(并行工作);各部分主要功能(EU执行指令,BIU形成物理地址,与总线打交道) 2. 寄存器资源 3. 存贮器
所属分类:
嵌入式
发布日期:2004-10-15
文件大小:3145728
提供者:
xxgcdzjs
74LS系列集成块功能介绍
74LS系列集成块功能介绍 74ls00 2输入四与非门 74ls01 2输入四与非门 (oc) 74ls02 2输入四或非门 74ls03 2输入四与非门 (oc) 74ls04 六倒相器 74ls05 六倒相器(oc) 74ls06 六高压输出反相缓冲器/驱动器(oc,30v) 74ls07 六高压输出缓冲器/驱动器(oc,30v) 74ls08 2输入四与门 74ls09 2输入四与门(oc) 74ls10 3输入三与非门 74ls11 3输入三与门 74ls12 3输入三与非门 (oc
所属分类:
其它
发布日期:2010-03-29
文件大小:14680064
提供者:
xue041480
c语言程序实例大全(比较全)
第一部分 基础篇 001 第一个C程序 002 运行多个源文件 003 求整数之积 004 比较实数大小 005 字符的输出 006 显示变量所占字节数 007 自增/自减运算 008 数列求和 009 乘法口诀表 010 猜数字游戏 011 模拟ATM(自动柜员机)界面 012 用一维数组统计学生成绩 013 用二维数组实现矩阵转置 014 求解二维数组的最大/最小元素 015 利用数组求前n个质数 016 编制万年历 017 对数组元素排序 018 任意进制数的转换 019 判断回文数 0
所属分类:
C
发布日期:2010-12-02
文件大小:3145728
提供者:
zeusmc
8位ALU运算器(VHDL语言)
(1)按照实验要求设计简单ALU,能执行8种操作,分别为: 1)加、减、增1、减1等4种8位算术运算; 2)与、或、非、异或等4种8位逻辑运算。 实现上,可以用一位M 作为进行算术运算或逻辑运算的控制位,M=0 时进行算术运算,M=1 时进行逻辑运算。另外用2位来表示4种操作。 (2)实现一些基本的PSW标志位: 1)进位/借位的输出标志位C; 2) 运算结果为零的输出标志位Z; 3) 运算结果为溢出的输出标志位V; 4) 运算结果为负数的输出标志位N。 (3)加减必须用最基本的1位全加器fa
所属分类:
硬件开发
发布日期:2011-05-18
文件大小:26624
提供者:
wwweet
1位二进制全加/减器设计(实验报告)
1位二进制全加器的设计(采用原理图输入) 用原理图输入法设计一个1位二进制半加器;再用两个半加器和一个或门组成一位二进制全加器
所属分类:
专业指导
发布日期:2011-09-16
文件大小:716800
提供者:
huakaiba
DMX512控制器、护栏管控制器、LED全彩控制器、护栏管轮廊控制器
1.基本参数 ◆工作电压: AC/DC12-24V或220V输入 ◆最大功耗: 小于3W ◆输出信号: 1路/4路TTL/RS485 信号 ◆带载能力: 4*1024 点或1*1024点 ◆控制方式: 外插SD卡(最大2GB) ◆高性能ARM 32位处理器方案 ◆多种芯片信号输出, 应用灵活 ◆播放速度10级调整,最大可支持32个程序文件播放 ◆同时上电, 多机同步控制(只限交流供电时) ◆无SD卡, 或卡错误时, 控制器输出RGB跳变信号 ◆设置参
所属分类:
其它
发布日期:2012-10-07
文件大小:559104
提供者:
lxqlzj01
二位全加器
二位全加器一位减法器、一位加法器的原理图输入和文本输入、编译校验及功能仿真
所属分类:
其它
发布日期:2012-10-29
文件大小:21504
提供者:
a1138032
一位全加全减器,数字电路实验,华中科技大学
一位全加全减器,数字电路实验,华中科技大学
所属分类:
专业指导
发布日期:2014-03-08
文件大小:178176
提供者:
wangchenmin_
程序员二进制计算器 v1.36
专为程序员打造的计算器,二进制运算强大,支持64位。 采用表达式驱动,输入表达式便即时显示结果,抛弃传统计算器繁琐的按钮,表达式可粘贴或回调重复使用。 支持二进制串直接运算,如0b1101 & 0b0011= 0b0001。 支持与、或、非、异或、移位(循环、逻辑、算术),直接读写二进制位,指定位段读、写、置1、清0、反转。 二进制数据表达方式多样,数据可以K、M、G等单位为后缀。 支持类C语言库函数调用。 结果可以各种进制、各种单位输出。 运算结果可存放在变量中。 内置常用生活函数。 总之,
所属分类:
其它
发布日期:2014-07-16
文件大小:208896
提供者:
huyansoft
各种eda代码(包你满意)
1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 11.JK1触发器 12.JK触发器 13.三位全加器 14.SR触发器 15.T1触发器 16.三太门 17.有D触发器构成的6位2进制计数器 18.带同步置数的7进制减法计数器(6位右移寄存器) 19.二十四进制双向计数器 20.二选一 21.分频器 22.含同步清零的十进制加计数器
所属分类:
C++
发布日期:2014-12-24
文件大小:111616
提供者:
seafuge
ewb multisim 仿真实例电路图全集
多年收集的ewb和multisim电子电路仿真实例文件,压缩后有50多兆。 文件列表 ├─仿真实验 │ 555.ms10 │ Circuit1.ms10 │ Circuit2.ms10 │ CLOCK.ms10 │ FileList.txt │ 实验2.ms10 │ 实验3-一阶有源低通滤电路.ms10 │ 实验3-减法运算电路.ms10 │ 实验3-反相加法运算电路.ms10 │ 实验3-反相比例运算电路.ms10 │ 实验3-反相积分运算电路.ms10 │ 实验3-微分运算电路.ms10
所属分类:
专业指导
发布日期:2015-10-21
文件大小:55574528
提供者:
freedom366
一位二进制全加/减器设计
library ieee; use ieee.std_logic_1164.all; entity addt is port (ain,bin,cin :in std_logic; cout,sum :out std_logic); end entity addt; architecture fd1 of addt is component h_adder port(a,b: in std_logic; co,so:out std_logic); end component; componen
所属分类:
C
发布日期:2008-12-07
文件大小:253952
提供者:
array258852258
数字逻辑实验指导书
目录 第一部分 实验准备 第一章 数字逻辑实验要求 预备-1 第二章 数字逻辑实验基本知识 预备-2 第三章 MAX+plus II实验操作步骤 预备-5 第二部分 实验 实验一 逻辑门电路的功能与测试 实验-1 (一) 或门的逻辑功能测试 (二) 与非门74LS00的逻辑功能测试 (三) 或非门74LS02的逻辑功能测试 (四) 与非门74LS20的逻辑功能测试 (五) 异或门74LS86的逻辑功能测试 实验二 复合逻辑电路功能的实现测试 实验-6 (一) 用与非门组成异或门并测试验证其功能
所属分类:
讲义
发布日期:2018-11-27
文件大小:737280
提供者:
qq_41614770
一位的全加法器,四位加减法器设计(logisim).zip
在Logisim设计一个用4个FA4构成一个4位的加减法器:可以在引脚输出结果,并显示在LED上。输入采用手动设置引脚
所属分类:
讲义
发布日期:2020-04-29
文件大小:3072
提供者:
liuyiming2019
1.8位可控加减法器.jpg
运算器实验 8位可控加减法器 sub=0时表示加法,否则减法 我们可以用8个一位全加器串行进位实现8位加法 如果要做减法就加上减数的补码,这里的补码可以按位取反(即异或1),再最低位加1(即最低位给一个进位信号)
所属分类:
数据库
发布日期:2020-04-22
文件大小:99328
提供者:
weixin_45242355
logisim画CPU:8位可控加减法电路设计
一位全加器、八位串行可控加减法器。circ文件,下载后直接用logisim打开即可。只实现了一位全加器、八位串行可控加减法器,其他部分没有实现。
所属分类:
硬件开发
发布日期:2020-04-20
文件大小:404480
提供者:
qq_43588553
DL-T-468-2004-电站锅炉风机选型和使用导则.pdf
DL-T-468-2004-电站锅炉风机选型和使用导则pdf,DL-T-468-2004-电站锅炉风机选型和使用导则DL/T468-2004 前言 本标准是根据原国家经贸委2000年度电力行业标准制、修订计划项目(电力[20070号文)的 安排,对DL468-—1992《电站锅炉风机选型和使用导则》进行修订而编制的。本标准是推荐性标准 本标准与DL468-1992相比,其编写与主要技术内容变化如下 依照DL/T600-2001的规定对编写进行了相应的改动 定义和术语依据GB/T1236—2000
所属分类:
其它
发布日期:2019-10-19
文件大小:932864
提供者:
weixin_38743737
施耐德 Twido位控模块驱动Lexium23伺服用户手册.pdf
施耐德 Twido位控模块驱动Lexium23伺服用户手册pdf,本资料介绍如何连接Twido位控模块与Lexium23伺服;如何进行Twido位控模块及Lexium23伺服参数设置;如何编辑位控程序;一般故障处理等。图表1 Twido pto结构 此PTO模块尺寸与通常 TWIDO模块样,且包含了全部输入输出点端了连接和LED显示 端子连接为2排16点的连接端子组成,每排端子搾制一个通道。所以,如图所示,1个PTO 可以控制2路驱动 每排端子的定义如下: 16IN ORIGIN 15 IN
所属分类:
其它
发布日期:2019-09-14
文件大小:555008
提供者:
weixin_38744435
logsim8位可控加减法电路设计.txt
帮助学生掌握一位全加器的实现逻辑,掌握多位可控加减法电路的实现逻辑,熟悉 Logisim 平台基本功能,能在 logisim 中实现多位可控加减法电路。仅供参考
所属分类:
专业指导
发布日期:2020-06-05
文件大小:627712
提供者:
m0_47399957
基本的二进制加法/减法器
两个二进制数字Ai,Bi和一个进位输入Ci相加,产生一个和输出Si,以及一个进位输出Ci+1。表2-2中列出一位全加器进行加法运算的输入输出真值表。根据表2-2所示的真值表,三个输入端和两个输入端可按如下逻辑方程进行联系:Si=Ai⊕Bi⊕CiCi+1=AiBi+BiCi+CiAi表2-2一位全加器真值表 输入输出AiBiCiSiCi+10000000110010100110110010101011100111111 按此表达式组成的一位全加器(FA)的逻辑结构见图2-4(a)。图2-
所属分类:
其它
发布日期:2021-02-03
文件大小:77824
提供者:
weixin_38538950
«
1
2
3
4
5
6
»