您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. MSP430常用模块应用原理8

  2. MSP430常用模块应用原理,模块包括 模块列表 1- 复位模块 2- 时钟模块 3- IO端口模块 4- WDT看门狗模块 5- Timer A定时器模块 6- 比较器A模块 7- ADC12数模转换模块 8- USART 串行异步通讯模块 9- CPU模块及全局资料 10-MSP430其它应用介绍
  3. 所属分类:其它

    • 发布日期:2009-06-03
    • 文件大小:1048576
    • 提供者:Kadinuo
  1. Windows 系统错误代码简单分析

  2. Microsoft Windows 系统错误代码简单分析:   0000 操作已成功完成。   0001 错误的函数。   0002 系统找不到指定的文件。   0003 系统找不到指定的路径。   0004 系统无法打开文件。   0005 拒绝访问。   0006 句柄无效。   0007 存储区控制块已损坏。   0008 可用的存储区不足, 无法执行该命令。   0009 存储区控制块地址无效。   0010 环境错误。   0011 试图使用不正确的格式加载程序。   0012 访问
  3. 所属分类:网络基础

    • 发布日期:2010-04-14
    • 文件大小:38912
    • 提供者:li12322200
  1. AVR单片机C语言开发入门指导

  2. 第1章 AVR单片机与C语言 1.l 用C语言开发单片机的优势 1.2 AVR单片机的特点 1.3 从Keil C51向ICCAVR快速过渡 l.3.1 AVR和 MCS-51存储器配置的对比 1.3.2 AVR输入/输出端曰的使用 1.3.3 AVR和MCS-51定时器的对比 1.3.4 AVR和MCS-51中断系统的对比 1.3.5 AVR和MCS-51位操作的对比 1.3.6 AVR单片机内置EEPROM的使用 1.3.7 AVR单片机内置看门狗电路(Watchdog)的使用 l.3.8
  3. 所属分类:C

    • 发布日期:2010-04-15
    • 文件大小:15728640
    • 提供者:knknkan
  1. C# 2008编程参考手册

  2. C#2008与.NET Framework 3.5提供了许多新的引以为豪的功能,从而使其比以前的版本更加直观,功能更为强大。作为一本权威性的书籍,《C# 2008编程参考手册》涵盖了C#2008版本的完整内容,适合于所有水平的读者阅读。 通过学习《C# 2008编程参考手册》,您将了解C#语言的基础知识,随着逐渐掌握C#2008提供的大量语言选项和参数,您将能够使用c群开发应用程序。此外,《C# 2008编程参考手册》介绍了如何实现最佳编程实践和结果的正确方法。书中的代码示例用于说明每种概念,
  3. 所属分类:C#

    • 发布日期:2012-06-27
    • 文件大小:77594624
    • 提供者:liujun13579
  1. MSP430系列常用模块应用原理.pdf

  2. MSP430系列常用模块应用原理,模块列表:1-复位模块;2-时钟模块;3-IO端口模块;4-WDT看门狗模块;5-Timer A定时器模块;6-比较器A模块;7-ADC12模块;8-USTRT串行异步通讯模块;9-CPU模块及全局资料;10-MSP430其他应用及介绍
  3. 所属分类:嵌入式

    • 发布日期:2012-09-07
    • 文件大小:991232
    • 提供者:lw22627129
  1. STM32F10xxx参考手册

  2. 目录 1 文中的缩写 24 1.1 寄存器描述表中使用的缩写列表 24 1.2 术语表 24 1.3 可用的外设 24 2 存储器和总线构架 25 2.1 系统构架 25 2.2 存储器组织 27 2.3 存储器映像 28 2.3.1 嵌入式SRAM 29 2.3.2 位段 29 2.3.3 嵌入式闪存 30 2.4 启动配置 33 3 CRC计算单元(CRC) 34 3.1 CRC简介 34 3.2 CRC主要特性 34 3.3 CRC功能描述 34 3.4 CRC寄存器 35 3.4.1
  3. 所属分类:硬件开发

    • 发布日期:2012-10-17
    • 文件大小:12582912
    • 提供者:lhlvictory
  1. CAN 控制器状态机的分析与实现

  2. CAN 状态机包含:总线脱离、总线启动、总线空闲、模式选择、发送模式、接收模式、错误模式、间歇模式、超载模式及挂起模式等状态。CAN 状态机的状态编码采用一种类似One-Hot 的编码方式。其只有外部硬件复位采用异步方式,其余信号均用全局时钟进行同步。把状态机逻辑和算术逻辑及数据通道分开,把状态机纯粹当作控制逻辑电路来使用,从而改善其性能。
  3. 所属分类:硬件开发

    • 发布日期:2012-10-24
    • 文件大小:839680
    • 提供者:wanda216
  1. PHP5与MySQL5 Web开发技术详解

  2. PHP5与MySQL5 Web开发技术详解 第一篇 PHP 5基础知识 第1章 PHP 5概述 3 1.1 什么是PHP 3 1.1.1 PHP的历史 3 1.1.2 PHP的优点 4 1.1.3 PHP的精神 5 1.1.4 PHP与Web 2.0 6 1.2 PHP 5的新特性 6 1.2.1 新的面向对象模式 7 1.2.2 新的面向对象特性 7 1.2.3 其他新特性 10 1.3 PHP 5的扩展库 11 1.3.1 XML扩展 12 1.3.2 SPL 13 1.3.3 MySQL
  3. 所属分类:Web开发

    • 发布日期:2013-03-21
    • 文件大小:361472
    • 提供者:linshuangxiong
  1. MSP430常用模块设置流程

  2. MSP430常用模块设置流程 模块列表 1- 复位模块 2- 时钟模块 3- IO 端口模块 4- WDT 看门狗模块 5- Timer A 定时器模块 6- 比较器A 模块 7- ADC12 数模转换模块 8- USART 串行异步通讯模块 9- CPU 模块及全局资料 10-MSP430 其它应用介绍
  3. 所属分类:其它

    • 发布日期:2013-04-10
    • 文件大小:1048576
    • 提供者:jik880
  1. CISCO 技术大集合

  2. CISCO 技术大集合 {适合你们的技术} 二、命令状态 1. router> 路由器处于用户命令状态,这时用户可以看路由器的连接状态,访问其它网络和主机,但不能看到和更改路由器的设置内容。 2. router# 在router>提示符下键入enable,路由器进入特权命令状态router#,这时不但可以执行所有的用户命令,还可以看到和更改路由器的设置内容。 3. router(config)# 在router#提示符下键入configure terminal,出现提示符route
  3. 所属分类:网络基础

    • 发布日期:2013-05-22
    • 文件大小:276480
    • 提供者:u010610376
  1. 大型全局复位设计—异步复位同源释放

  2. 可靠的全局复位方法,相比同步复位,电路复杂程度简化。相比于异步复位,消除了异步复位的亚稳态
  3. 所属分类:硬件开发

    • 发布日期:2016-08-18
    • 文件大小:144384
    • 提供者:u011699955
  1. EPM240,EPM570 代替方案,功能更多,成本更低,兼容5V电平。-40~100℃,工艺更先进,功耗更低!-EF1A650.pdf

  2. EPM240,EPM570 代替方案,功能更多,成本更低,兼容5V电平。-40~100℃,工艺更先进,功耗更低!-EF1A650.pdf安路科技ELF器件系列数据手册 ANLOGIG 安科技 目录 2.58电还迟 22 2.5.91C接厂 22 2.5.10密配置选项 22 2.5.11配置接口D....………2 3直流和丈流特性. 23 3.1直流电气特性. …23 3.1.1最大绝额定值 23 31.2摆荐基本操作条件 24 3.1.3静态供电电流2 25 3.1.4热插拔规格. 25 3.
  3. 所属分类:其它

    • 发布日期:2019-09-03
    • 文件大小:1048576
    • 提供者:weixin_38744153
  1. FPGA入门教程.pdf

  2. 1、数字电路设计入门 2、FPGA简介 3、FPGA开发流程 4、RTL设计 5、Quartus II 设计实例 6、ModelSim和Testbench112时序逻辑电路 时序逻辑电路由时钟的上升沿或下降沿驱动工作,其实真正被时钟沿驱动的是电路中的 触发器( Register),也称为寄存器。触发器的工作原理和参数如下图 Register的原理和参数 T DQ Clk Clk old tsu:建立时间,在时钟有效沿到来之前触发器数据输入应保持稳定的时间,如果建立时 间不够,数据将不能在这个时钟
  3. 所属分类:硬件开发

    • 发布日期:2019-07-28
    • 文件大小:6291456
    • 提供者:smart_devil
  1. 对于选择同步化的异步复位的方案

  2. 随着FPGA设计越来越复杂,芯片内部的时钟域也越来越多,使全局复位已不能够适应FPGA设计的需求,更多的设计趋向于使用局部的复位。本节将会从FPGA内部复位“树”的结构来分析复位的结构。 我们的复位线将会是一个和时钟一样多扇出的网络,如此多的扇出,时钟信号是采用全局时钟网络的,那么复位如何处理?有人提出用全局时钟网络来传递复位信号,但是在FPGA设计中,这种方法还是有其弊端。一是无法解决复位结束可能造成的时序问题,因为全局网络的延时较大,并且不可以直接连到寄存器的复位端。仍然需要局部走线,这对
  3. 所属分类:其它

    • 发布日期:2020-07-13
    • 文件大小:177152
    • 提供者:weixin_38524871
  1. 如何选择复位方式?

  2. 在一个FPGA项目设计之初,全局网络的建立至关重要,其中包括时钟网络和复位网络。而通常设计者对时钟网络的规划尤为小心,可却忽视了复位网络,这个复位跟时钟一样也是一个覆盖全局、高扇出的信号,如果处理不当也会导致意想不到的错误。很多人会问,到底复位信号选择同步还是异步、高电平还是低电平有效?
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:71680
    • 提供者:weixin_38630612
  1. 提高FPGA复位的可靠性,你知道多少?

  2. 电源电路设计中,对FPGA设计中常用的复位设计方法进行了分类、分析和比较。针对FPGA在复位过程中存在不 可靠复位的现象,本文例举了提高复位设计可靠性的几种方法,也就是采用清除复位信号上的毛刺、异步复位同步释放、专用全局异步复位/置位资源和采用内部复 位等方法来提高FPGA复位的可靠性。
  3. 所属分类:其它

    • 发布日期:2020-08-10
    • 文件大小:126976
    • 提供者:weixin_38660069
  1. FPGA复位的可靠性设计方法

  2. 对FPGA设计中常用的复位设计方法进行了分类、分析和比较。针对FPGA在复位过程中存在不可靠复位的现象,提出了提高复位设计可靠性的4种方法,包括清除复位信号上的毛刺、异步复位同步释放、采用专用全局异步复位/置位资源和采用内部复位。上述方法可有效提高FPGA复位的可靠性。
  3. 所属分类:其它

    • 发布日期:2020-08-29
    • 文件大小:141312
    • 提供者:weixin_38613681
  1. EDA/PLD中的FPGA复位的可靠性设计方案

  2. 对FPGA设计中常用的复位设计方法进行了分类、分析和比较。针对FPGA在复位过程中存在不可靠复位的现象,提出了提高复位设计可靠性的4种方法,包括清除复位信号上的毛刺、异步复位同步释放、采用专用全局异步复位/置位资源和采用内部复位。上述方法可有效提高FPGA复位的可靠性。   对FPGA芯片而言,在给芯片加电工作前,芯片内部各个节点电位的变化情况均不确定、不可控,而这种不确定且不可控的情况会使芯片在上电后的工作状态出现错误。因此,在FPGA的设计中,为保证系统能可靠进进入工作状态,以及避免对FP
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:119808
    • 提供者:weixin_38651450
  1. 同步复位及异步复位设计

  2. 关于复位设计,有多种不同的观点和方案。到底是采用同步复位还是异步复位,全局复位还是局部复位,是由多方面的因素决定的。但良好的复位设计既可以提高系统的可靠性,又可以节省大量的逻辑资源。在实际应用中,笔者也看到过很多因为复位电路设计问题而导致的系统可靠性问题。本节针对这几个问题加以说明,希望读者能够找到适合自己设计的复位设计方案。   复位要解决的问题就是让电路在上电之后有一个确定的初始状态,而很多时候我们设计的复位电路没有能够达到这个效果。   (1)同步复位的优点是同步的。   对于非时钟
  3. 所属分类:其它

    • 发布日期:2020-11-17
    • 文件大小:65536
    • 提供者:weixin_38516706
  1. FPGA复位的可靠性设计方案

  2. 对FPGA设计中常用的复位设计方法进行了分类、分析和比较。针对FPGA在复位过程中存在不可靠复位的现象,提出了提高复位设计可靠性的4种方法,包括清除复位信号上的毛刺、异步复位同步释放、采用专用全局异步复位/置位资源和采用内部复位。上述方法可有效提高FPGA复位的可靠性。   对FPGA芯片而言,在给芯片加电工作前,芯片内部各个节点电位的变化情况均不确定、不可控,而这种不确定且不可控的情况会使芯片在上电后的工作状态出现错误。因此,在FPGA的设计中,为保证系统能可靠进进入工作状态,以及避免对FP
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:144384
    • 提供者:weixin_38564718
« 12 »