您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于cycloneⅡ的高速异步串行接口的实现

  2. 基于 cyc I one II 的高速异步串行接口的实现 宋开 鑫,李 斌 ,王 婧 ,靖 文,张嘉春 ,孙新立 (沈阳理工大学,辽宁 沈阳 100168 ) 【摘 要 】文章首先介绍 了系统的总体结构 ,然后详细论述 了系统各个组成部分 的原理和 3-作 过程 ,主要 论证 了如何利用 锁相环进行 5 倍采样从而实现位同步和串并转换,然后用 FIFO 来实现时钟域的转换 ,外加一些必要的设置,最终实现了利用 现 场可编程逻辑 器件 cyclone 1I 对 150M 数据正确 的接收和转发
  3. 所属分类:硬件开发

    • 发布日期:2009-05-25
    • 文件大小:124928
    • 提供者:jayzf0503
  1. FIFO的Verilog实现

  2. 同步和异步FIFO的Verilog实现,Modelsim仿真,其中有FPGA具体实现的文档
  3. 所属分类:硬件开发

    • 发布日期:2015-09-24
    • 文件大小:754688
    • 提供者:u013056038
  1. 基于FPGA同步fifo的设计

  2. 代码主要介绍一下同步fifo用verilog实现。fifo是 first input first output 的缩写,即先进先出队列,fifo一般用作不同时钟域的缓冲器。fifo根据读和写的时钟是否为同一时钟分为同步fifo和异步fifo。异步fifo相比同步fifo来说,设计更加复杂一点。本文中讲述的是同步fifo的一种设计方法。
  3. 所属分类:硬件开发

    • 发布日期:2018-05-29
    • 文件大小:449536
    • 提供者:xffjpf
  1. 同步和异步FIFO.zip

  2. 同步和异步FIFO的硬件实现(verilog)和文档说明。。
  3. 所属分类:硬件开发

    • 发布日期:2019-09-05
    • 文件大小:745472
    • 提供者:weixin_42183170
  1. 同步FIFO与异步FIFO的Verilog实现(附源代码和测试代码).doc

  2. 同步FIFO与异步FIFO的Verilog实现(附源代码和测试代码)
  3. 所属分类:硬件开发

    • 发布日期:2019-09-04
    • 文件大小:163840
    • 提供者:liuning19910307
  1. 同步FIFO和异步FIFO的Verilog实现

  2. 介绍同步FIFO原理,并且提供了verilog源代码;详细介绍了异步FIFO原理和两种实现方法,并提供verilog源代码。
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:66560
    • 提供者:woshihuangayn3
  1. 基于异步FIFO实现不同时钟域间数据传递的设计

  2. 摘 要:数据流在不同时钟域间的传递一直是集成电路芯片设计中的一个重点问题。本文通过采用异步FIFO的方式给出了这个问题的一种解决方法,并采用Verilog 硬件描述语言通过前仿真和逻辑综合完成设计。 关键词:异步FIFO;时钟域;Verilog引言当今集成电路设计的主导思想之一就是设计同步化,即对所有时钟控制器件(如触发器、RAM等)都采用同一个时钟来控制。但在实际的应用系统中,实现完全同步化的设计非常困难,很多情况下不可避免地要完成数据在不同时钟域间的传递(如高速模块和低速模块之间的数据交换)
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:83968
    • 提供者:weixin_38552305