您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 74系列芯片资料 74564 TTL 八位三态反相输出D触发器

  2. 4系列芯片功能大全 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发
  3. 所属分类:嵌入式

    • 发布日期:2009-05-02
    • 文件大小:122880
    • 提供者:codychang
  1. 74系列IC带管脚图

  2. 4系列芯片功能大全 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发
  3. 所属分类:嵌入式

    • 发布日期:2009-07-26
    • 文件大小:703488
    • 提供者:yan131423yong
  1. 74系列芯片名称及解释

  2. 74系列芯片名称及解释 型号 内容 ---------------------------------------------------- 74ls00 2输入四与非门 74ls01 2输入四与非门 (oc) 74ls02 2输入四或非门 74ls03 2输入四与非门 (oc) 74ls04 六倒相器 74ls05 六倒相器(oc) 74ls06 六高压输出反相缓冲器/驱动 器(oc,30v) 74ls07 六高压输出缓冲器/驱动器(oc,30v) 74ls08 2输入四与门 74ls09
  3. 所属分类:嵌入式

    • 发布日期:2009-07-27
    • 文件大小:11264
    • 提供者:txwlltt
  1. 74LS163英文资料

  2. 74163 4 位二进制同步计数器(同步清除) 简要说明 163 为可预置的 4 位二进制同步计数器,共有 54163/74163、54S163/74S163, 54LS163/74LS163 三种线路结构形式。其主要电特性的典型值如下: 型号 fc PD 54163/74163 32MHz 305mW 54S163/74S163 70MHz 475mW 54LS163/74LS163 32MHz 93mW 163 的清除是同步的。当清除端(C __ L _ _ R_ )为低电平时,在时钟端(
  3. 所属分类:C

    • 发布日期:2009-08-15
    • 文件大小:114688
    • 提供者:zcy654031606
  1. Verilog实例(经典135例)

  2. 很实用的Verilog实例! 目录:王金明:《Verilog HDL程序设计教程》程序例子,带说明。 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波
  3. 所属分类:嵌入式

    • 发布日期:2009-09-08
    • 文件大小:130048
    • 提供者:kevinsjtu
  1. 电子元器件详细规范.半导体集成电路CT54LS169/CT74LS169型4位二进制同步加/减计数器

  2. 本规范规定了半导体集成电路CT54LS169/CT74LS169型4位二进制同步加/减计数器质量评定的全部内容。 本标准符合GB 4589.1《半导体器件分立器件和集成电路总规范》和GB/T 12750《半导体集成电路分规范(不包括混合电路)》的要求。
  3. 所属分类:专业指导

    • 发布日期:2010-03-12
    • 文件大小:917504
    • 提供者:boveyyingying
  1. 74LS系列集成块功能介绍

  2. 74LS系列集成块功能介绍 74ls00 2输入四与非门 74ls01 2输入四与非门 (oc) 74ls02 2输入四或非门 74ls03 2输入四与非门 (oc) 74ls04 六倒相器 74ls05 六倒相器(oc) 74ls06 六高压输出反相缓冲器/驱动器(oc,30v) 74ls07 六高压输出缓冲器/驱动器(oc,30v) 74ls08 2输入四与门 74ls09 2输入四与门(oc) 74ls10 3输入三与非门 74ls11 3输入三与门 74ls12 3输入三与非门 (oc
  3. 所属分类:其它

    • 发布日期:2010-03-29
    • 文件大小:14680064
    • 提供者:xue041480
  1. 数字逻辑与数字系统设计习题答案王永军 李景华

  2. 第一章 数字逻辑基础 作业及参考答案 (2008.9.25) P43 1-11 已知逻辑函数 ,试用真值表、卡诺图和逻辑图表示该函数。 解:(1)真值表表示如下: 输 入 输出 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 (2)卡诺图表示如下: 00 01 11 10 0 0 1 0 1 1 1 1 1 1 由卡诺图可得 = (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出
  3. 所属分类:C

    • 发布日期:2010-03-29
    • 文件大小:5242880
    • 提供者:cheerup8
  1. EDA—EDA技术实用教程(pdf影印)

  2. 学习VHDL和FPGA的经典资料 第 1 章 概述 1.1 EDA 技术及其发展 1.2 EDA 技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2
  3. 所属分类:硬件开发

    • 发布日期:2010-06-07
    • 文件大小:8388608
    • 提供者:zt839486421
  1. 40193 4位二进制同步加 减计数器(有预置端,双时钟).PDF

  2. 40193 4位二进制同步加 减计数器(有预置端,双时钟).PDF
  3. 所属分类:C/C++

    • 发布日期:2010-08-17
    • 文件大小:124928
    • 提供者:LHW5211314
  1. EDA—EDA技术实用教程

  2. 综合 1.5 基于VHDL 的自顶向下设计方法 1.3 EDA 技术的优势 1.3 EDA 的发展趋势 【习题】 第 2 章 EDA 设计流程及其工具 2.1 设计流程 2.1.1 设计输入(原理图/HDL 文本编辑) 2.1.2 综合 2.1.3 适配 2.1.4 时序仿真与功能仿真 2.1.5 编程下载 2.1.6 硬件测试 2.2 ASIC 及其设计流程 2.2.1 ASIC 设计方法 2.2.2 一般ASIC 设计的流程 2.3 常用EDA 工具 2.3.1 设计输入编辑器 2.3.2
  3. 所属分类:硬件开发

    • 发布日期:2010-11-19
    • 文件大小:8388608
    • 提供者:sundyqt
  1. 74LS169的中文资料

  2. 简要说明 169 为可预置的 4 位二进制同步加/ 减计数器,共有54S169/74S169 ,54LS169/74LS169 两种线路结构形式。
  3. 所属分类:专业指导

    • 发布日期:2011-12-26
    • 文件大小:234496
    • 提供者:hzt428jiban
  1. 数字元器件74系列各种器件简介

  2. 常用74系列功能介绍: 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K
  3. 所属分类:教育

    • 发布日期:2012-10-07
    • 文件大小:30408704
    • 提供者:zzsok
  1. 北邮大二下数电VHDL实验报告

  2. 1.用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 2.用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 3.用3线-8线译码器(74LS138)和逻辑门设计实现函数F,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 4.用VHDL语言设计实现一个3位二进制数值比较器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信
  3. 所属分类:硬件开发

    • 发布日期:2013-10-19
    • 文件大小:567296
    • 提供者:u011370608
  1. 数字通信同步技术的MTALAB与FPGA实现》PPT版

  2. ppt是书的辅助 书上目录 第1章 同步技术的概念及FPGA基础 1 1.1 数字通信中的同步技术 2 1.2 同步技术的实现方法 4 1.2.1 两种不同的实现原理 4 1.2.2 常用的工程实现途径 5 1.3 FPGA概念及其在信号处理中的应用 6 1.3.1 基本概念及发展历程 6 1.3.2 FPGA的结构和工作原理 8 1.3.3 FPGA在数字信号处理中的应用 14 1.4 Xilinx器件简介 15 1.4.1 Xilinx器件概况 15 1.4.2 Spartan系列器件 1
  3. 所属分类:讲义

    • 发布日期:2015-02-12
    • 文件大小:14680064
    • 提供者:dai891011
  1. mulitisim的仿真软件的测试多种芯片

  2. 1.用与非门74LS00和异或门74LS86设计一个1位二进制全加器 2.用或非门74LS02构成一个基本SR锁存器 3.用集成D触发器74LS74和异或门74LS86构成一个T触发器 4.用集成JK触发器74LS112设计一个同步的3进制计数器,要求写出详细的设计过程 5.用集成计数器74LS161和与非门电路74LS20采用反馈清零法设计一个模7的计数器 6.用集成计数器74LS161和与非门电路74LS20采用反馈置数法设计一个模8的计数器(要求显示后8种状态10001001…1010
  3. 所属分类:讲义

    • 发布日期:2016-01-05
    • 文件大小:1048576
    • 提供者:qq_24091613
  1. 74HC系列PDF资料

  2. 74HC253 双4选1数据选择器(三态) 74HC257 四2选1数据选择器(三态) 74HC259 8位可寻址锁存器 74HC266 四2输入异或非门(OC) 74HC273 八D型触发器(带清除端) 74HC279 四R—S锁存器 74HC280 9位奇偶数产生器/校验器 74HC283 4位二进制全加器(带超前进位) 74HC298 4位2选1数据选择器 74HC365 六缓冲器/总线驱动(同相) 74HC366 六缓冲器/总线驱动(反相) 74HC367 六缓冲器/总线驱动(同相)
  3. 所属分类:其它

    • 发布日期:2008-11-26
    • 文件大小:1048576
    • 提供者:hcj0205
  1. 数字逻辑实验指导书

  2. 目录 第一部分 实验准备 第一章 数字逻辑实验要求 预备-1 第二章 数字逻辑实验基本知识 预备-2 第三章 MAX+plus II实验操作步骤 预备-5 第二部分 实验 实验一 逻辑门电路的功能与测试 实验-1 (一) 或门的逻辑功能测试 (二) 与非门74LS00的逻辑功能测试 (三) 或非门74LS02的逻辑功能测试 (四) 与非门74LS20的逻辑功能测试 (五) 异或门74LS86的逻辑功能测试 实验二 复合逻辑电路功能的实现测试 实验-6 (一) 用与非门组成异或门并测试验证其功能
  3. 所属分类:讲义

    • 发布日期:2018-11-27
    • 文件大小:737280
    • 提供者:qq_41614770
  1. 用CD4040演示二进制加法电路

  2. CD4040是串行计数器。也叫异步计数器;它与并行(同步)计数器的区别在于。在异步计数器中,各级时钟是串行连接的,高位触发器的状态翻转必须在低一位触发器产生进位信号(加计数)或借位信号(减计数)之后才能实现;而在同步计数器中(例如4位二进制同步加计数器4518)。计数脉冲同时接于各位触发器的时钟脉冲输入端,当计数脉冲到来时,各触发器同时被触发。
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:44032
    • 提供者:weixin_38559569
  1. 元器件应用中的中规模时逻辑集成计数器

  2. 在数字电路中,把记忆输人脉冲个数的操作称为计数,计数器就是实现计数操作的时序逻辑电路。计数 器应用非常广泛,除用于计数、分频外,还用于数字测量、运算和控制,从小型数字仪表到大型数字电子 计算机,几乎无所不在,是任何现代数字系统中不可缺少的组成部分。   计数器的种类很多,按其进制不同分为二进制计数器、十进制计数器、N进制计数器;按触发器翻转是否 同步分为异步计数器和同步计数器;按计数时是增还是减分为加法计数器、减法计数器和加/减法(可逆 )计数器。下面首先介绍二进制计数器。   1.集成二进
  3. 所属分类:其它

    • 发布日期:2020-11-13
    • 文件大小:516096
    • 提供者:weixin_38559346
« 12 3 4 5 »