您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Visual C++开发经验技巧宝典(第9章)—1

  2. 第9章 Windows相关技术 337 9.1 磁盘信息 338 0520 获取驱动器的卷标 338 0521 检测软驱是否有软盘 339 0522 判断是否插入存储器 339 0523 判断光驱是否有光盘 339 0524 判断驱动器类型 340 0525 获取磁盘序列号 341 0526 获取磁盘空间信息 342 9.2 磁盘操作 343 0527 格式化磁盘 343 0528 关闭磁盘共享 343 0529 设置磁盘卷标 343 0530 磁盘碎片整理 344 0531 从FAT32转换
  3. 所属分类:网络攻防

    • 发布日期:2009-09-07
    • 文件大小:11534336
    • 提供者:perhapsbu
  1. Visual C++开发经验技巧宝典(第9章)

  2. 第9章 Windows相关技术 337 9.1 磁盘信息 338 0520 获取驱动器的卷标 338 0521 检测软驱是否有软盘 339 0522 判断是否插入存储器 339 0523 判断光驱是否有光盘 339 0524 判断驱动器类型 340 0525 获取磁盘序列号 341 0526 获取磁盘空间信息 342 9.2 磁盘操作 343 0527 格式化磁盘 343 0528 关闭磁盘共享 343 0529 设置磁盘卷标 343 0530 磁盘碎片整理 344 0531 从FAT32转换
  3. 所属分类:网络攻防

    • 发布日期:2009-09-07
    • 文件大小:8388608
    • 提供者:perhapsbu
  1. 软件病毒特征码定位软件multiCCL

  2. 特别说明: 本软件谢绝任何支持或使用3721(及及该公司其他产品如雅虎助手等)的人士使用。 multiCCL      by niu-cow in NE365 开发调试环境及工具 : win2k+sp4 dev-cpp 4.992 Lcc_win32 MASM32 v9.0 Radasm ollydbg 1.10 winHex 12.5 包含文档: 1. multiCCL_f.exe 文件特征码专用版 2. multiCCL_m.exe 内存特征码专用版 3. multiCCL_inj.exe
  3. 所属分类:网络攻防

    • 发布日期:2010-08-02
    • 文件大小:98304
    • 提供者:tyz777
  1. multiCCL_f

  2. 特别说明: 本软件谢绝任何支持或使用3721(及及该公司其他产品如雅虎助手等)的人士使用。 multiCCL      by niu-cow in NE365 开发调试环境及工具 : win2k+sp4 dev-cpp 4.992 Lcc_win32 MASM32 v9.0 Radasm ollydbg 1.10 winHex 12.5 包含文档: 1. multiCCL_f.exe 文件特征码专用版 2. multiCCL_m.exe 内存特征码专用版 3. multiCCL_inj.exe
  3. 所属分类:网络攻防

    • 发布日期:2010-10-19
    • 文件大小:57344
    • 提供者:a6125456
  1. GExperts 1.32 For Delphi 7

  2. 大家都知道,Delphi语言的功能是相当强大的,由于其拥有很好的扩展性,因此很多公司、团队和个人开发了辅助Delphi编程的工具软件。GExperts就是一个这样的免费而且优秀的软件(在我的印象中,好像只有控件包中的Rxlib和Mwedit能够与之媲美)。GExperts是一个Delphi的扩展功能软件,也叫做Experts,我现在使用的版本是1.32。   下载完毕后,执行安装程序,然后再进入Delphi(在安装GExperts之前,请关闭正在运行的Delphi),你会发现在Delphi选单
  3. 所属分类:Delphi

    • 发布日期:2011-11-08
    • 文件大小:1048576
    • 提供者:wintlee
  1. CAD快捷键文档

  2. 一、概述: 所谓的快捷命令,是AutoCAD为了提高绘图速度定义的快捷方式,它用一个或几个简单的字母来代替常用的命令,使我们不用去记忆众多的长长的命令,也不必为了执行一个命令,在菜单和工具栏上寻寻匿匿。所有定义的快捷命令都保存在AutoCAD安装目录下SUPPORT子目录中的ACAD.PGP文件中,我们可以通过修改该文件的内容来定义自己常用的快捷命令。 当我们每次新建或打开一个AutoCAD绘图文件时,CAD本身会自动搜索到安装目录下的SUPPORT路径,找到并读入ACAD.PGP文件。当Au
  3. 所属分类:嵌入式

    • 发布日期:2011-12-17
    • 文件大小:111616
    • 提供者:k63961262
  1. PQ 分区魔术师 V10.0

  2. PQmagic是一款非常优秀的磁盘分区管理软件,支持大容量硬盘,可以非常方便地实现误删磁盘找回、分区的拆分、删除、修改,轻松实现FAT和NTFS分区相互转换,还能实现多C盘引导功能,且可在PE下使用。 PQmagic能够优化磁盘使应用程序和系统速度变得更快,不损失磁盘数据下调整分区大小,对磁盘进行分区,并可以在不同的分区以及分区之间进行大小调整、移动、隐藏、合并、删除、格式化、搬移分区等操作,可复制整个硬盘资料到分区,恢复丢失或者删除的分区和数据,无需恢复受到破坏的系统就可磁盘数据恢复或拷贝到
  3. 所属分类:Windows Server

    • 发布日期:2013-04-24
    • 文件大小:12582912
    • 提供者:zhoupinhe
  1. PE结构大图

  2. PE结构详细图,对PE结构详细描述,对盐焗PE结构有重要帮助
  3. 所属分类:Windows Server

  1. windows编程资料大全

  2. 在编写程序的过程中,我遇到了这样的需求:在基于Windows 9x 或 Windows NT4.0 的程序中,要求确定键盘、鼠标处于空闲状态的时间。查询了有关资料文档以后,发现Windows 9x和Windows NT4.0 没有提供API或系统调用来实现这样的功能。但是,在Windows 2000中提供了一个新的函数:GetLastInputInfo(),这个函数使用结构 LASTINPUTINFO 作为参数: LASTINPUTINFO lpi; lpi.cbSize = sizeof(l
  3. 所属分类:网络攻防

    • 发布日期:2008-10-26
    • 文件大小:3145728
    • 提供者:yaozhu88
  1. grub4dos-V0.4.6a-2017-02-04更新

  2. 更新说明: 2017-02-04(yaya) Ls command: Empty Folder returns false. 2016-12-08(yaya) 修正lz4、vhd不显示解压缩进度指示。增加lzma解压缩进度指示。 2016-11-09(不点) 0x8205 bit 5 = 1: 使checkkey闲置循环停止指令。 2016-04-13(yaya) 支持动画菜单 setmenu --graphic-entry=类型=菜单行数=菜单列数=图形宽(像素)=图形高(像素)=菜单行间距
  3. 所属分类:DOS

    • 发布日期:2017-03-05
    • 文件大小:675840
    • 提供者:qq_37767541
  1. 功能强大的多图多视频选择器

  2. 最近项目中用到多图选择上传的需求,考虑到android机型众多问题就自己花时间写了一个,测试了大概60款机型,出现过一些问题也都一一修复了,基本上稳定了特分享出来,界面UI也是商用级的开发者不用在做太多修改了,界面高度自定义,可以设置符合你项目主色调的风格,集成完成后就可以拿来用。 重要的事情说三遍记得添加权限 功能特点: 1.适配android7.0系统 2.解决部分机型裁剪闪退问题 3.解决图片过大oom闪退问题 4.动态获取系统权限,避免闪退 5.支持相片or视频的单选和多选 6.
  3. 所属分类:Android

    • 发布日期:2017-07-10
    • 文件大小:3145728
    • 提供者:qq_24531461
  1. CLOUDERA-Manager-中文手册+CDH安装手册(Inter实验室版本).pdf

  2. CLOUDERA-Manager-中文手册+CDH安装手册 中文版本 CLOUDERA-Manager-中文手册+CDH安装手册 中文版本产品介绍 1.介绍 Cloudera Manager是CDH市场领先的管理平台。作为业界第一的端到端 Apache Hadoop的管理应用, Cloudera Manager对CDH的每个部件都提供了细粒度的可视化和控制,从而设立了企业部署的标准。通过 Cloudera manger,运 维人员得以提髙集群的性能,提升服务质量,提高合规性并降低管理成本。 Cl
  3. 所属分类:Hadoop

    • 发布日期:2019-07-16
    • 文件大小:5242880
    • 提供者:c275090933
  1. 分布式图形数据库 Titan.zip

  2. Titan 是一个在服务器集群搭建的分布式的图形数据库,特别为存储和处理大规模图形而优化。集群很容易扩展以支持更大的数据集,Titan有一个很好的插件式性能,这个性能让它搭建在一些成熟的数据库技术上像 Apache Cassandra、Apache HBase、 Oracle BerkeleyDB。插件式索引架构可以整合 ElasticSearch 和Lucene技术。内置实现 Blueprints  graph API,支持 TinkerPop所有的技术。     特性1,支持不同的分布式存
  3. 所属分类:其它

    • 发布日期:2019-07-17
    • 文件大小:14680064
    • 提供者:weixin_39841848
  1. CSP-J、CSP-S学习进阶-2019-10-20.pdf

  2. CSP-J、CSP-S学习进阶-2019-10-20 CSP-J、CSP-S学习进阶-2019-10-20人工智能中小学系列课程-2019-08-26第2版 、魔抓 Scratch创意编程班 适合对象:小学或初中8-16岁小朋友 班级设置:互动教学,小班授课(3-10人)、一对一 学习时间:周六日或放学后,寒暑假,每次1课时 序号班别 主要学习内容 课时|学费 Scratch 入门班适合810岁的小朋友学习(小学三四年级) 10 1000 ratch创 意设计班适合1012岁的小朋友学习(小学五
  3. 所属分类:其它

    • 发布日期:2019-10-20
    • 文件大小:769024
    • 提供者:dllglvzhenfeng
  1. ERC2控制器手册(含电路连接图).pdf

  2. ERC2控制器手册(含电路连接图)pdf,ERC2控制器手册(含电路连接图)ERC2控制器 O规格(PO型) ■输入部分外部输入规格 ■输出部分外部输出规格 项目规格 项目规格 输入点数 6点 输入点数 点 输入电压DC24±10% 额定负载电压DC24V 输入电流 4mA1电路 最大电流 60mA/1点 泄漏电流最大1mA1点 残留电压2V以下 动作电压 ON电压:最小18V(35mA) 短路、反电压、保护|保险丝电阻(2790.1 OFF电压:最大6(1mA) 臂杆扁平旋转型专月型 NPN规
  3. 所属分类:其它

    • 发布日期:2019-10-20
    • 文件大小:1048576
    • 提供者:weixin_38743481
  1. 西门子mmv操作说明书.pdf

  2. 西门子mmv操作说明书pdf,西门子mmv操作说明书重要事项: △竖告 为确保正确的安全操作,必须严格遵守以下条例 用变频器去驱动一合额定功率比它大或额定功率不足其一半的电机是不允许的,只有当P083中设定的额 定电流与电机铬埤上的额定电流相匹配时才能运行。 ·必须正确地设定电机数据参数(P080P085),并且在电机起动前进行自动测定P088=1)。若没有进 行电机测定,会导致不稳定不可预料的运行(例如反向转动如果出现不稳定运行必须立即切断变频 器的主电源。 当使用模拟量输入时,在用Pα06使
  3. 所属分类:其它

    • 发布日期:2019-10-20
    • 文件大小:2097152
    • 提供者:weixin_38743506
  1. 西门子MMV MDV变频器使用手册.pdf

  2. 西门子MMV MDV变频器使用手册pdf,西门子MMV MDV变频器使用手册重要事项: △警告 为确保正确的安全操作,必须严格遵守以下条例 用变频器去弘动一台额定功率比它大或额定功率个足其一半的电机是个允许的,只有当P083中设定的额 定申流与申机铬牌上的额定申流相匹配时才能运行。 必须上确地设定申机数捃参数(PO80P085),并且在咔机起动前进行自动测定(P088=1)。若没有进 行电机泱定,会导致不稳定/不可预料的运行(例如反向转动如果出现不稳定运行必须立即切断变频 器的主电源。 当使用模
  3. 所属分类:其它

    • 发布日期:2019-10-15
    • 文件大小:3145728
    • 提供者:weixin_38743481
  1. 天水二一三CJX4-□□□Z系列直流操作交流接触器样本下载.pdf

  2. 天水二一三CJX4-□□□Z系列直流操作交流接触器样本下载pdf,天水二一三电器有限云司 UL 2.5 ELEL 长城电工 用途 APPLICAT|ON the dews, which would appear due to temperature change C∝X4-匚□□Z系列直流操作交流接触贔接舳赑遹用于交流5Hz{或6Hz)、额定绝缘电压至69σ、额定工作电医至 △环境污染等级为“污染等级3” Pollution grade: Grade3 安装类别为“安装类别Ⅲ"; Install
  3. 所属分类:其它

    • 发布日期:2019-10-08
    • 文件大小:4194304
    • 提供者:weixin_38743506
  1. 西普:XHV160A供水专用变频器说明书.pdf

  2. 西普:XHV160A供水专用变频器说明书pdf,XHV100系列高性能矢量变频器特点有 三种速度控制方式:无PG矢量控制(SVC)、有PG矢量控制(VC)、V/F控制方式; 达到180%的低频力矩输出,转矩控制精度±5%(VC); 有PG矢量控制提供转矩控制模式,为张力控制提供专业的解决方案; 有PG矢量控制速度精度达到±0.1%, 提供1:1000的速度控制范围; 18.5~90kW变频器内置直流电抗器,提高输入侧功率因数,提高整机效率及稳定性,有效消除输入侧的高
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:6291456
    • 提供者:weixin_38743481
  1. 偏微分方程数值解的matlab实现.pdf

  2. 偏微分方程数值解的MATLAB实现,提供了求解一维偏微分方程的函数和求解二维偏微分方程的工具箱14.13求解一维偏微分方程 下面结合一个简单的实例介绍一维PDE的求解。 【例14-1】求解下面的PDE问题。 式中,0≤x≤1,t≥0。1=0时,解满足初始条件: x, 0)=sin x=0和x=1时,解满足下面的边界条件: a(0,)=0 re-+--(,)=0 按照下面的步骤求解此方程 1.重写PDE 按照方程(14-1)的形式重写PDE,即 a(oou x +0 at 参数m=0,项《,《个一
  3. 所属分类:讲义

    • 发布日期:2019-06-29
    • 文件大小:11534336
    • 提供者:chungking_d
« 12 3 4 »