您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 单载波频域均衡系统中的PN信道估计算法

  2.  提出了一种基于伪噪声(PN:Pseudo Noise) 序列循环相关的信道估计算法,并将之应用于单载波频域均衡 系统中。将新信道估计算法与2 种典型的频域信道估计算法进行对比研究发现:新算法可更好的兼顾性能与计算 复杂度。将新算法用于SC2FDE 系统时,研究了基于干扰消除的接收处理流程,并提出了发送的所有PN 导频序列 都相同时的简化接收处理步骤。仿真表明:干扰消除流程和新的信道估计算法可以使系统性能接近信道完全已知 时的系统性能。 关键词 信道估计
  3. 所属分类:其它

    • 发布日期:2009-05-24
    • 文件大小:367616
    • 提供者:jxm0jxm
  1. 在QuatusII环境下实现pn序列

  2. 用原理图输入的方法在QuatusII环境下实现m序列的生成
  3. 所属分类:其它

    • 发布日期:2009-09-24
    • 文件大小:910
    • 提供者:eggfxd
  1. pn序列产生matlab程序

  2. 利用产生多项式产生PN序列 PN序列PN序列
  3. 所属分类:其它

    • 发布日期:2009-09-25
    • 文件大小:435
    • 提供者:ljleon
  1. PN序列的产生及其自相关特性仿真

  2. PN序列的产生及其自相关特性仿真,用于信源信号的调制
  3. 所属分类:嵌入式

    • 发布日期:2009-10-29
    • 文件大小:831
    • 提供者:zhinanzhennana
  1. 扩频通信中PN序列产生器的设计

  2. 应付各通信类课设的,你们懂的~ 具体任务是: 1 理解并掌握PN序列产生器的原理及其在扩频通信中的应用; 2 实现PN序列产生器的仿真设计; 3 调试源文件,观察、分析并打印设计的波形。 4 完成本次设计,填写设计指导书。 设计参数为: 1. 伪随机序列的周期为L=31。 2. 采用Gold序列。
  3. 所属分类:其它

    • 发布日期:2011-04-21
    • 文件大小:201728
    • 提供者:tc40631539
  1. pn9序列生成器

  2. 用VHDL编写的pn9序列生成器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity pn_9 is port(clk,rst:in std_logic; pnout:out std_logic ); end pn_9; architecture behavior of pn_9 is signal shifter :std_logic_vector(8 downto 0); be
  3. 所属分类:硬件开发

    • 发布日期:2013-01-17
    • 文件大小:792
    • 提供者:whzxcvbnm
  1. m序列产生及特性实验

  2. m序列是有n级线性移位寄存器产生的周期为2n −1的码序列,是最长线性移位寄存器序 列的简称。码分多址系统主要采用两种长度的m序列:一种是周期为215 −1的m序列,又称 短PN序列;另一种是周期为242 −1的m序列,又称为长PN码序列。m序列主要有两个功能: ①扩展调制信号的带宽到更大的传输带宽,即所谓的扩展频谱;②区分通过多址接入方式使 用同一传输频带的不同用户的信号。
  3. 所属分类:专业指导

    • 发布日期:2013-04-18
    • 文件大小:187392
    • 提供者:u010346622
  1. PN序列的频率同步算法

  2. OFDM系统中一种基于PN序列的频率同步算法及FPGA设计
  3. 所属分类:电信

    • 发布日期:2013-11-21
    • 文件大小:171008
    • 提供者:u012909523
  1. matlab—PN序列代码

  2. PN序列的代码。输入生成多项式可生成PN序列。测试可用
  3. 所属分类:其它

    • 发布日期:2019-05-06
    • 文件大小:442
    • 提供者:mo_zc
  1. 基于相位信息的DSSS/CDMA信号用户PN序列快速分离算法.pdf

  2. 基于相位信息的DSSS/CDMA信号用户PN序列快速分离算法pdf,基于相位信息的DSSS/CDMA信号用户PN序列快速分离算法
  3. 所属分类:其它

    • 发布日期:2019-09-22
    • 文件大小:621568
    • 提供者:weixin_38743481
  1. PN序列的产生以及相关函数的计算 mfile.zip

  2. PN序列的产生以及相关函数的计算的mfile文件 这是数字通信课程的一道编程作业题,这里求相关函数采用的是循环,还有很大优化空间,要注意的是序列周期延拓各个下标的值怎么映射到原始序列,这其实就是一个求模的过程
  3. 所属分类:电信

    • 发布日期:2020-03-18
    • 文件大小:1024
    • 提供者:weixin_45672828
  1. LS序列部分相关特性研究及其应用.pdf

  2. LS序列部分相关特性研究及其应用pdf,LS序列部分相关特性研究及其应用第3期 冯莉芳等:LS序列部分相关特性岍究及其应用 673 图2描述了二进制LS序列所有起始位置及所有时延的部 图4描述了二进制LS序列的所有起始位置及所有时延 分自相关和值,序列长度和数目均为64,部分相关窗口长度的部分互相关和值,序列长度和数目均为64,部分相关窗口 为17。由图可见,无论部分相关窗口的起始位置及时延如何长度为17。由图可见,无论部分相关窗口的起始位置及时延 取值,其韶分自相关值之和除零时延外均为零。经分
  3. 所属分类:其它

    • 发布日期:2019-10-12
    • 文件大小:881664
    • 提供者:weixin_38744270
  1. 一种伪噪声比特序列发生器的设计与实现

  2. 为了产生性能良好的伪噪声(PN)序列,提出了一种超混沌伪噪声比特序列发生器的设计与实现方法。设计中利用一个新的连续超混沌系统作为PN序列的随机信号源,建立了连续系统的离散和量化数学模型,在Simulink平台上借助于DSP Builder里的模块构建了该离散化模型的电路模型,利用FPGA芯片在实验中获得了数字混沌PN序列。
  3. 所属分类:其它

    • 发布日期:2020-07-30
    • 文件大小:74752
    • 提供者:weixin_38726007
  1. 基于Logistic映射PN序列的FPGA实现

  2. 本文介绍了基于Logistic映射PN序列的FPGA实现的实现方法,希望对大家有所帮助。
  3. 所属分类:其它

    • 发布日期:2020-07-29
    • 文件大小:97280
    • 提供者:weixin_38670318
  1. 基于PN序列信道估计的回波抵消研究

  2. 针对估计序列影响信道估计精度并影响回波抵消效果的问题,从回波抵消基本原理出发,分析了PN序列作用信道估计的机理及其相关性对信道估计参数的影响,并与采用输入信号自身作估计序列的回波抵消方式进行了比较。对输入OFDM信号进行了仿真和实际运行。结果表明,采用PN序列估计信道,能有效提高估计的精度,改善回波抵消效果。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:652288
    • 提供者:weixin_38692184
  1. 基于Logistic映射PN序列的FPGA实现

  2. 伪噪声序列(PN序列)可应用于扩频通信、信息加密、计算机仿真等领域。PN序列发生器需要一个随机信号源和一系列的离散、量化算法及其硬件实现技术。确定性的混沌可以复制,具有长期不可预测性,且很难区分一个信号是来自于非确定性系统还是混沌系统。因此,混沌满足密码系统设计的基本原则,利用混沌系统作为PN序列的信号源已引起了国内外学者的广泛关注与研究。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:546816
    • 提供者:weixin_38619207
  1. 通信与网络中的基于Chebyshev混沌序列的数字图像扩频水印

  2. 摘要:利用同一密钥的混沌序列对有意义的水印信息加扰扩频实现双重加密,再考虑人类视觉系统(HVS)的影响将水印加到宿主图像小波变换的低频系数中,提取时利用图像复原方法实现盲检测。通过仿真,比较PN序列和M序列,从峰值信噪比(PSNR)和归一化相关系数(NC)的结果可知,该数字水印具有较好的鲁棒性和安全性。     关键词:数字图像 混沌序列 Chebyshev 映射 扩频水印 随着网络通信技术迅猛发展,数字媒体(包括数字音频、数字图像和数字视频)得到广泛应用,然而数字产品极易被非法拷贝和分发
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:105472
    • 提供者:weixin_38678796
  1. PN序列分布的空子载波OFDM频偏估计算法研究

  2. PN序列分布的空子载波OFDM频偏估计算法研究
  3. 所属分类:其它

    • 发布日期:2021-03-16
    • 文件大小:739328
    • 提供者:weixin_38610012
  1. 使用PN序列的阵列响应进行多径传播表征的二维DoA估计

  2. 使用PN序列的阵列响应进行多径传播表征的二维DoA估计
  3. 所属分类:其它

    • 发布日期:2021-03-02
    • 文件大小:1048576
    • 提供者:weixin_38717143
  1. 基于伪随机噪声序列相干光正交频分复用系统的定时同步算法

  2. 针对传统定时同步算法的副峰干扰和低信噪比(SNR)下定时不稳定的问题, 提出了一种基于伪随机噪声(PN)序列的定时同步算法。根据PN序列良好的延迟相关性和对称相关性, 重新设计了训练序列的结构, 并优化了定时度量函数的计算方法。仿真结果表明, 改进算法的定时度量估计曲线只存在一个峰值, 消除了副峰干扰。在循环前缀为四分之一符号长度与低SNR的情况下, 仍可实现准确和稳定的定时。
  3. 所属分类:其它

    • 发布日期:2021-02-22
    • 文件大小:6291456
    • 提供者:weixin_38597970
« 12 3 4 5 6 7 »