您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. FIR数字滤波器的理论和设计

  2. 数字滤波器正在迅速地代替传统的由R、L、C元件和运算放大器组成的模块滤波器并且日益成为DSP的一种主要处理环节。FPGA也在逐渐取代ASIC和PDSP,用作前端数字信号处理的运算(如:FIR滤波、CORDIC算法或FFT)。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-12
    • 文件大小:1048576
    • 提供者:nongfut
  1. 数字滤波器设计及在心电信号滤波中的应用

  2. 目 录 1、课程设计的目的 1 2、课程设计的原理 1 1、用窗函数法设计FIR滤波器 1 2、用双线性变换法设计IIR数字滤波器 2 3、课程设计内容与步骤 5 1、心电信号采集 5 2、源数据的导入 5 3、绘出源心电信号的时域波形图和频谱图并进行分析 5 4、含噪心电信号合成 7 1、加入单频正弦干扰 7 2、加入白噪声 8 3、加入chirp噪声 9 5、数字滤波器设计及滤波 10 1凯塞窗函数设计FIR低通滤波器 10 2、布莱克窗设计带阻数字滤波器 12 3、设计FIR高通滤波器:
  3. 所属分类:专业指导

    • 发布日期:2009-10-14
    • 文件大小:1048576
    • 提供者:bo1987
  1. 数字信号处理及MATLAB实现

  2. 数字滤波器正在迅速地代替传统的由R、L、C元件和运算放大器组成的模块滤波器并且日益成为DSP的一种主要处理环节。介绍了数字滤波器及其MATLAB实现。
  3. 所属分类:其它

    • 发布日期:2010-07-07
    • 文件大小:5242880
    • 提供者:hmliu_1983
  1. 基于Ve ri l og H DL的FI R数字滤波器设计与仿真

  2. 本文主要分析了FIR数字滤波器的基本结构和硬件构成特点,简要介绍了nR滤波器实现的方式优缺点;结合Ahera 公司的Stratix系列产品的特点.以一个基于MAC的8阶nR数字滤波器的设计为例,给出了使用Verilog硬件描述语言进 行数字逻辑设计的过程和方法。并且在QuartuslI的集成开发环境下编写HDL代码,进行综合;利用QuartusII内部的仿真器 对设计做脉冲响应仿真和验证。
  3. 所属分类:硬件开发

    • 发布日期:2014-01-11
    • 文件大小:174080
    • 提供者:gaoxia729
  1. 1 IR 低通滤波器在谐 波监测 中的应用

  2. 摘 要 : 以 l 怅 数字滤波器的基本理 论为依据 , 结合滤波器 的传递 函数分 于、 分母 系数 固定这 事实 , 通过选川 .佰密度 l J 编程逻辑器件确定了 工l R 数字滤波器的硬件实现 方案 , 并按照层 次化 、 模块化 、 参数化 的没 i } 思路 , 采用 V }拍 L 硬件描述语 言和原理 图两 种 设计技术进行 了 l怅 滤波器 的硬件设 计; 对 设乙} 的低 通滤波 器进行 r 系数 最化并对其影响进行了分机 最后进 行 了实际滤波效果测试 , 验证 了设计的正
  3. 所属分类:其它

    • 发布日期:2017-12-12
    • 文件大小:293888
    • 提供者:cqgtdz
  1. 数字滤波器的发展方向

  2. 滤波器在数值信号处理中有广泛的应用,为此我们将滤波器作简单介绍,达到抛砖引玉的作用。若滤波器的输入、输出都是离散时间信号,那么,该滤波器的冲击响应(或滤波因子)也必然是离散的,我们称这样的滤波器为数字滤波器(digital filter,df)。当用硬件实现一个df时,所需的元件是延迟器、乘法器和加法器。当在计算机上用软件实现时,它就是一段线性褶积(或卷积)的程序。我们知道,模拟滤波器(analog filter,af)只能用硬件来实现,其元器件是r,l,c及运算放大器或开关电路。因此,df的
  3. 所属分类:C

    • 发布日期:2009-01-03
    • 文件大小:630784
    • 提供者:liuya1p23
  1. 滤波器在数值信号处理中有广泛的应用,为此我们将滤波器作简单介绍,达到抛砖引玉的作用。若滤波器的输入、输出都是离散时间信号,那么,该滤波器的冲击响应(或滤波因子)也必然是离散的,我们称这样的滤波器为数字滤波器(digital filter,d

  2. 滤波器在数值信号处理中有广泛的应用,为此我们将滤波器作简单介绍,达到抛砖引玉的作用。若滤波器的输入、输出都是离散时间信号,那么,该滤波器的冲击响应(或滤波因子)也必然是离散的,我们称这样的滤波器为数字滤波器(digital filter,df)。当用硬件实现一个df时,所需的元件是延迟器、乘法器和加法器。当在计算机上用软件实现时,它就是一段线性褶积(或卷积)的程序。我们知道,模拟滤波器(analog filter,af)只能用硬件来实现,其元器件是r,l,c及运算放大器或开关电路。因此,df的
  3. 所属分类:C

    • 发布日期:2009-01-03
    • 文件大小:558080
    • 提供者:princesam1990
  1. 数字信号处理之时频分析.pdf

  2. 总结描述大学本科所学数字信号处理,尽量用图片的形式表达数字信号的内涵∑dkyn-k]=∑Pkn-k] 也可以化简为 yn]+∑an-]=∑b 只要根据输入序列和输出序列,确定参数a和b,就能唯一定量的描述一个系统。 另∶由这种方法可以先定义一下FR和R滤波器(信号通过系统被改变,就如同滤波器) Finite Impulse response filter k x(n-k k 根据某一时刻输入系统一冲击函数,其响应会不会递归影响到下一次响应,分为 FIR:有限脉冲响应滤波器 FR:无限脉冲响应滤波
  3. 所属分类:讲义

    • 发布日期:2019-10-09
    • 文件大小:1048576
    • 提供者:weixin_40106401
  1. 数字信号处理课程设计--基于MATLAB的语音去噪处理.pdf

  2. 数字信号处理课程设计--基于MATLAB的语音去噪处理.pdf数字信号处理课程设计报告 目录 1绪论 2课程设计内容 5 3课程设计的具体实现… ““4“““““““4““““4“““““““““““““““““““““““““““““5 3.1语音信号的采集 ++“++++++++++++++++++“+“++++“++++++++++++“+++·+++++“+++ 3.2语音信号的时频分析………………………………4 3.3语音信号加噪与频谱分析 3.4利用双线性变换法设
  3. 所属分类:专业指导

    • 发布日期:2019-09-04
    • 文件大小:1048576
    • 提供者:weixin_41587431
  1. Butterworth (巴特沃斯)滤波器设计参考.pdf

  2. Butterworth (巴特沃斯)滤波器设计参考,适合研究滤波器的硬件工程师参考使用其他带通、带阻滤波器频率变换式参考表6-8(下图) "文道用。 表6-8根据模拟低通原型设计各类数字滤波器的频率变换式及有关设计参量的表达式 欧字滤波器类型 频率变换式 S=CI 设计参量的表达式 高通 H C1=n tan C=1 4=CIct 2 1=E2-1+ -D 示D=am() 带通 2cos 2 n-D cos au Cos o E sin w D2=D2 2) D 带阻 一E1z-1+ 20(m)
  3. 所属分类:硬件开发

    • 发布日期:2019-09-03
    • 文件大小:623616
    • 提供者:u010333323
  1. 基于DSP的FIR数字滤波器实现

  2. 一、 引 言   在许多数字信号处理系统中,FIR滤波器是最常用的组件之一,它完成信号预调、频带选择和滤波等功能。F工R滤波器在截止频率的边沿陡峭性能虽然不及11R滤波器,但是,考虑到FIR滤波器严格的线性相位特性和不像IIR滤波器存在稳定性的问题,FIR滤波器能够在数字信号处理领域得到广泛的应用。   数字滤波器(Digital Filter,简称为DF)是指用来对输入信号进行滤波的硬件和软件。所谓数字滤波器,是指输入、输出均为数字信号,通过一定运算关系改变输入信号所含频率成分的器件。数字滤波
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:134144
    • 提供者:weixin_38733787
  1. 单片机与DSP中的FIR滤波器理论

  2. 带有常系数的FIR滤波器是一种LTI数字滤波器。z阶或者长度为z的∏R输出对应于输入时间序列x[n]的关系由一种有限卷积数量形式给出,具体形式如下:   其中从f[0]≠0—直到f[L-1]≠0均是滤波器的z阶的系数,同时也对应于ΠR的脉冲响应。对于LTI系统可以更为方便地将(3,2)表示成z域内的形式:   其中F(z)是FIR的传递函数,其z域内的形式如下:   图1给出了z阶LTI型FIR滤波器的图解。可以看出,ΠR滤波器是由一个“抽头延迟线”加法器和乘法器的集合构成的。
  3. 所属分类:其它

    • 发布日期:2020-11-13
    • 文件大小:103424
    • 提供者:weixin_38520437
  1. 单片机与DSP中的数字滤波器组

  2. 数字滤波器组是一组具有公共输入或输出的滤波器,如图所示。图(a)中的分析滤波器组经常用于频谱分析,也就是将输入信号分成R个不同的次能带信号。图(b)中将多个信号合成到公共的输出信号中,就称作合成滤波器组。分析滤波器可以是不相重叠的、稍有重叠或者是完全重叠的。图给出了一个最为常见的稍有重叠滤波器组的示例。   图 典型滤波器细的分解系统展示   区别不同滤波器组的另一个重要特征就是带宽和各个滤波器中心频率之间的间隔。非均匀滤波器组的一个例子就是倍频间隔或小波滤波器组,在均匀滤波器组中,所有
  3. 所属分类:其它

    • 发布日期:2020-11-13
    • 文件大小:71680
    • 提供者:weixin_38554186
  1. 单片机与DSP中的采用DSPBuilder的FIR滤波器的方案实现

  2. 1.引言   在信息信号处理过程中,如对信号的过滤、检测、预测等,都要使用滤波器,数字滤波器是数字信号处理(DSP,DigitalSignalProcessing)中使用最广泛的一种器件。常用的滤波器有无限长单位脉冲响应(ⅡR)滤波器和有限长单位脉冲响应(FIR)滤波器两种[1],其中,FIR滤波器能提供理想的线性相位响应,在整个频带上获得常数群时延从而得到零失真输出信号,同时它可以采用十分简单的算法实现,这两个优点使FIR滤波器成为明智的设计工程师的首选,在采用VHDL或VerilogHDL
  3. 所属分类:其它

    • 发布日期:2020-11-08
    • 文件大小:174080
    • 提供者:weixin_38657353
  1. 单片机与DSP中的数字滤波器指数序列

  2. 要求 假定x(t)是指数衰减咛列:r≥o时,x(t)=eut;当t<0时,x(t)=0。其图像如图1所示。求采样速率为fs=1/Ts序列的Z变换X(Z)。   解 采样后的时间序列是   图1  指数信号实   进行z变换的过程和处理方法与支持信号和系统分析的Laplace变换非常相近。特别的,信号和系统通常用事先做好的表格,如表1 中的项进行处理。表1 包含了常用的离散时问系统的z变换。   实指数信号的研究在下例中进行。   表1 初等函数的z变换   欢迎
  3. 所属分类:其它

    • 发布日期:2020-11-14
    • 文件大小:248832
    • 提供者:weixin_38562492
  1. 单片机与DSP中的基于DSPBuilder的FIR滤波器的设计与实现

  2. 引言     在信息信号处理过程中,如对信号的过滤、检测、预测等,都要使用滤波器,数字滤波器是数字信号处理(DSP,DigitalSignalProcessing)中使用最广泛的一种器件。常用的滤波器有无限长单位脉冲响应(ⅡR)滤波器和有限长单位脉冲响应(FIR)滤波器两种[1],其中,FIR滤波器能提供理想的线性相位响应,在整个频带上获得常数群时延从而得到零失真输出信号,同时它可以采用十分简单的算法实现,这两个优点使FIR滤波器成为明智的设计工程师的首选,在采用VHDL或VerilogHD
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:164864
    • 提供者:weixin_38529293
  1. 单片机与DSP中的FIR数字滤波器分布式算法的原理及FPGA实现

  2. 摘要:在利用FPGA实现数字信号处理方面,分布式算法发挥着关键作用,与传统的乘积-积结构相比,具有并行处理的高效性特点。详细研究了基于FPGA、采用分布式算法实现FIR数字滤波器的原理和方法,并通过Xilinx ISE在Modelsim下进行了仿真。 关键词:分布式算法 DALUT FPGA FIR数字滤波器正在迅速地代替传统的由R、L、C元件和运算放大器组成的模块滤波器并且日益成为DSP的一种主要处理环节。FPGA也在逐渐取代ASIC和PDSP,用作前端数字信号处理的运算(如:FIR滤波
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:70656
    • 提供者:weixin_38732463
  1. 单片机与DSP中的基于 DSP Builder的FIR滤波器的设计与实现

  2. 1 引言   在信息信号处理过程中,如对信号的过滤、检测、预测等,都要使用滤波器,数字滤波器是数字信号处理(DSP,DigitalSignalProcessing)中使用最广泛的一种器件。常用的滤波器有无限长单位脉冲响应(ⅡR)滤波器和有限长单位脉冲响应(FIR)滤波器两种[1],其中,FIR滤波器能提供理想的线性相位响应,在整个频带上获得常数群时延从而得到零失真输出信号,同时它可以采用十分简单的算法实现,这两个优点使FIR滤波器成为明智的设计工程师的首选,在采用VHDL或VerilogHD
  3. 所属分类:其它

    • 发布日期:2020-12-06
    • 文件大小:95232
    • 提供者:weixin_38688969
  1. 单片机与DSP中的用于清除数据噪声的简单数字滤波器

  2. 很多系统都用一个ADC对温度和压力传感器产生的模拟数据进行采样。有时候,系统噪声或其它因素会使原本缓慢波动的数据乱跳起来。为了降低高频噪声,设计师经常会在传感器和模/数转换器级之间接一个模拟RC(电阻器-电容器)低通滤波器。但是,这种方法并不总是很理想或很实用。例如,要获得分钟级的时间常数,就需要非常大的R、C值。     图1显示的是一个模拟RC低通滤波器以及它的设计公式。去除ADC线性范围内的噪声信号还有另一种方法,即使用模拟RC低通滤波器的数字等式。该滤波器的软件只有两行C代码:
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:67584
    • 提供者:weixin_38557757
  1. 基于FPGA的伽玛射线数字高斯赋值算法的设计与实现。

  2. 核能谱是核物理研究.R adiation检测和核技术的应用领域的重要信息,核能谱测量技术公顷已经是一个热点问题。 和邻的d igital核谱测量技术的关键技术的网元是如何实现数字处理设备上的数字滤波器整形算法。 根据 的Sallen-Key滤波器模型和基尔霍夫电流定律, 本文推导并分析了数字高斯谱测量的数字高斯整形算法,并利用M atlab7.0仿真了核脉冲。 为了将算法应用于FPGA 现场可编程门阵列芯片,本文采用SD编码方法进行了改进和优化。 实验验证了该方法的正确性和可行性。
  3. 所属分类:其它

    • 发布日期:2021-03-23
    • 文件大小:551936
    • 提供者:weixin_38740827
« 12 3 4 5 6 »